AINIP

Active Implantable Neural Interface Platform (AINIP)

Funded by Information Technology Academia Collaboration (ITAC)

  • Project Duration: June 2015 – Jan. 2018 (30 months)

  • Project Budget: EGP1.25 Million

  • Project Industrial Partners: NOVELA Inc.

  • Project Executive Summary:

The objective of the proposed project is to design, develop and test an advanced neural interface platform for brain stimulation and recording applications. The proposed system will introduce unique neural interfacing platform with unmatched features and superior performance compared to the commercial competitors. The platform is made up of several modules; each will be designed to utilize the cutting edge technologies in its field. The modular design approach of the neural interface will develop several sub-systems that can be separately and individually used in various applications. This will increase the number of commercializable elements developed throughout the proposed research, and will elevate the market value of the project. The modules include implantable electrodes, biomedical signal processing circuits, terahertz communications and wireless power transfer. Some modules have been previously developed, tested, and ready for integration with the system under development.

  • Project Team:




  • Project Published Journal Papers:

  1. M. Ashraf, H. Mostafa, A. Eladawy, and Y. Ismail, “Power Adaptive High-Resolution Neural Data Compression Algorithm (PANDCA) “, Elsevier Microelectronics Journal, In Press.
  2. A. H. Hassan, H. Mostafa, Y. Ismail, and A. M. Soliman, “A Low-Power High-Efficiency Inductive Link Power Supply for Neural Recording and Stimulation SoC”, American Scientific Publisher (ASP) Journal of Low Power Electronics (JLOPE), vol. 14, issue 1, pp. 129-139(11), 2018.
  3. M. Elgabry, A. Hassan, H. Mostafa, and A. M. Soliman, “A New Design Methodology for Voltage-to-Frequency Converters (VFCs) Circuits Suitable for Time-Based Analog-to-Digital Converters (T-ADC)”, Springer Analog Integrated Circuits and Signal Processing, vol. 94, issue 2, pp. 277–287, 2018. [PDF]
  4. A. Ahmed, I. Hassan, T. Ibn-Mohammed, H. Mostafa, I. M. Reaney, L. S. C. Koh, J. Zu, and Z. Wang, “Environmental life cycle assessment and techno-economic analysis of triboelectric nanogenerator”, Royal Society of Chemistry: Energy and Environmental Science, vol. 10, issue 3, pp. 653-671, 2017. [PDF]
  5. A. Elbayoumi, H. Mostafa, and A. M. Soliman, “A Novel MIM-CapacitorBased 1-GS/s 14-bit Variation-Tolerant Fully-Differential Voltage-to- Time Converter (VTC) Circuit”, Journal of Circuits, Systems and Computers (JCSC), vol. 26, issue 5, pp. 1-35, 2017. [PDF]
  6. K. O. Ragab, H. Mostafa, and A. Eladawy, “A Novel 10-bit 2.8mW Time-to-Digital Converter Design using SAR with Continuous Dis-assembly Algorithm”, IEEE Transactions on Circuits and Systems II (TCAS-II), vol. 63, issue 10, pp. 909-913, 2016. [PDF]
  7. H. Mostafa, and Y. Ismail, “A Design-Oriented Timing Jitter/Skew Model in Voltage-to-Time Converter (VTC) Circuits”, Analog Integrated Circuits and Signal Processing, SPRINGER, vol. 82, issue 1, pp. 309-321, 2015. [PDF]
  8. A. Eltaliawy, H. Mostafa, and Y. Ismail, “Micro-scale Variation-Tolerant Exponential Maximum Power Tracking System for Self-Powered Wireless Sensor Networks”, Elsevier Microelectronics Journal, vol. 46, pp. 221-230, 2015. [PDF]
  • Project Published Conference Papers:

  1. R. AbdEl-Sttar, E. Onsy, G. Maximous, A. Zaky, Tamer A. Ashour, Ashraf Seleym, and H. Mostafa, “Diagonal Mode: A New Mode for Triboelectric Nanogenerators Energy Harvesters”, IEEE International Conference on Next Generation Circuits and Systems (NGCAS 2018), Malta, In Press.
  2. M. Adel, M. El-Naggar, S. M. Darweesh, and H. Mostafa, “Multiple Hybrid Compression Techniques for Electroencephalography Data”, IEEE International Conference on Microelectronics (ICM 2018), Sousse, Tunisia, In Press.
  3. A. M. M. Sabreen, A. A. Samir, L. A. ElMahdy, M. H. Ibrahim, M. H. Tawfik, O. O. ElShaer, and H. Mostafa, “Seizure Detection Using Gilbert’s Algorithm”, IEEE International Conference on Microelectronics (ICM 2018), Sousse, Tunisia, In Press.
  4. M. Saeed, T. Ismail, and H. Mostafa, “On RF Telemetry for Implantable Medical Devices: A Communication Theory Perspective”, IEEE International Symposium on Communication Systems, Networks, and Digital Signal Processing (CSNDSP’2018), Budapest, Hungary, pp. 1-6, 2018. [PDF]
  5. A. Zaky, A. Ahmed, P. Ibrahim, B. Mahmoud, and H. Mostafa, “In-Out Cylindrical Triboelectric Nanogenerators Based Energy Harvester”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 1118-1121, 2018. [PDF]
  6. M. Elgammal, O. A. Elkhouly, H. Elhosary, M. E. Sayed, A. Mohieldin, K. N. Salama, and H. Mostafa, “Linear and Nonlinear Feature Extraction for Neural Seizure Detection”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 795-798, 2018. [PDF]
  7. M. I. Selmy, H. Mostafa, and A. A. S. Dessouki, “Low Power Memristor Based Voltage Controlled Oscillator For Electrical Neural Stimulation”, IEEE International Conference on Advanced Control Circuits and Systems and New Paradigms in Electronics & Information Technology (ACCS/PEIT 2017), Alexandria, Egypt, pp. 344 – 347 , 2017. [PDF]
  8. R. M. Elaskary, M. Saeed, T. Ismail, H. Mostafa, and S. Gabran, “Hybrid DCT/Quantized Huffman Compression for Electroencephalography Data”, IEEE International Japan-Africa Conference on Electronics, Communications, and Computers (JAC-ECC 2017), Alexandria, Egypt, pp. 119-122, 2017. [PDF]
  9. G. S. Maximous, A. El-Gunidy, H. Mostafa, T. Ismail, and S. Gabran, “A New Sensitivity-Specificity Product-Based Automatic Seizure Detection Algorithm”, IEEE International Japan-Africa Conference on Electronics, Communications, and Computers (JAC-ECC 2017), Alexandria, Egypt, pp. 115-118, 2017. [PDF]
  10. A. H. Hassan, A. Ali, W. M. Ismail, M. Refky, Y. Ismail, and H. Mostafa, “A 1 Gs/S 6-Bit Time-Based Analog-to-Digital Converter (T-ADC) for Front-End Receivers”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Boston, MA, USA, pp. 1605-1608, 2017.[PDF]
  11. A. Zaky, M. Shehata, Y. Ismail, and H. Mostafa, “Characterization and Model Validation of Triboelectric Nanogenerators using Verilog-A”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Boston, MA, USA, pp. 1536-1539, 2017. [PDF]
  12. A. Hassan, M. Elbadry, Y. Ismail, and H. Mostafa, “A Low-Power Self-Startup Bandgap Circuit for Energy Efficient Applications”, IEEE International NEW Generation of Circuits and Systems (NGCAS 2017), Genova, Italy, pp. 29-32, 2017.[PDF]
  13. A. Hassan, E. Hamed, E. Badr, O. Sharkawy, Y. Ismail, and H. Mostafa, “A VCO-Based MPPT Circuit for Low-Voltage Energy Harvesters”, IEEE International Symposium on Very Large Scale Integration (ISVLSI 2017), Bochum-Germany, pp. 580-584, 2017. [PDF]
  14. D. Yasser, M. Elgammal, M. Atef, O. Hamada, A. H. Hassan, and H. Mostafa, “A Comparative Analysis of Optimized Low-Power Comparators for Biomedical-ADCs”, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 129-132, 2017. [PDF]
  15. M. Alsenwi, M. Saeed, T. Ismail, S. Gabran, and H. Mostafa, “Hybrid Compression Technique with Data Segmentation for Electroencephalography Data”, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 235-238, 2017. [PDF]
  16. K. Helal, A. Y. Aboelmakarem, A. – M. B. R. Fouad, T. S. Kamel, K. A. M. Nageeb, M. M. K. Mohamed, M. M. Abdelrhman, Y. Ismail, and H. Mostafa, “Low-Power High-Accuracy Seizure Detection Algorithms for Neural Implantable Platforms”, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 231-234, 2017. [PDF]
  17. M. Abdelkader, A. Ali, A. Abdelaziz, W. M. Ismail, M. Refky, Y. Ismail, and H. Mostafa, “A 200MS/s, 8-bit Time-based Analog to Digital Converter (TADC) in 65nm CMOS Technology”, IEEE International Japan-Egypt Conference on Electronics, Communications, and Computers (JEC-ECC 2016)), Cairo, Egypt, pp. 25-28, 2016. [PDF]
  18. M. Elgabry, H. Mostafa, and A. M. Soliman, “A Comparative Study of the Voltage-to-Time Converters (VTCs) and the Voltage-to-Frequency Converters (VFCs) Circuits”, IEEE International Japan-Egypt Conference on Electronics, Communications and Computers (JEC-ECC 2016)), Cairo, Egypt, pp. 21-24, 2016. [PDF]
  19. M. Asenwi, T. Ismail, and H. Mostafa, “Performance Analysis of Hybrid Lossy/Lossless Compression Techniques for EEG Data”, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, pp. 1-4, 2016.[PDF]
  20. M. Ashraf, H. Mostafa, and A. Eladawy, “A Low-Power Area-Efficient Design and Comparative Analysis for High-Resolution Neural Data Compression”, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, pp. 217-220, 2016. [PDF]
  21. E. Belal, H. Mostafa, Y. Ismail, and S. M. Said, “A Voltage Multiplying AC/DC Converter for Energy Harvesting Applications”, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, pp. 229-232, 2016. [PDF]
  22. A. H. Hassan, M. W. Ismail, Y. Ismail, and H. Mostafa, “A 200 MS/s 8-bit Time-Based Analog-to-Digital Converter with Inherit Sample and Hold”, IEEE International System on Chip Conference (SOCC 2016), Seattle, WA, USA, pp. 120-124, 2016. [PDF]
  23. A. El-Attar, S. Ahmed, Y. Abdelkader, A. Hassan, and H. Mostafa, “A Comparative Analysis of Optimized CMOS Neural Amplifier”, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, pp. 107-110, 2015. [PDF]
  24. E. Belal, H. Mostafa, and S. M. Said, “Comparison between Active AC-DC Converters For Low Power Energy Harvesting Systems”, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, pp. 253-256, 2015. [PDF]
  25. N. G. Tawfik, H. Mostafa, and Y. Ismail, “Comparison Between Analog and Digital Locking MPPT Unit for Micro-scale PV Energy Harvesting Systems”, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, pp. 67-70, 2015. [PDF]
  26. A. Elbayoumi, H. Mostafa, and A. M. Soliman, “A New 65nm-CMOS 1V 8GS/s 9-bit Differential Voltage-Controlled Delay Unit Utilized for a Time-Based Analog-to-Digital Converter Circuit”, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, pp. 1-4, 2015. [PDF]
  27. A. El-Bayoumi, H. Mostafa, and A. M. Soliman, “A New Highly-Linear Highly-Sensitive Differential Voltage-to-Time Converter Circuit in CMOS 65nm Technology”, IEEE International Symposium on Circuits and Systems (ISCAS 2015), Lisbon, Portugal, pp. 1262-1265, 2015. [PDF]
  28. A. Eltaliawy, H. Mostafa, and Y. Ismail, “A New Digital Locking MPPT control for Ultra Low Power Energy Harvesting Systems”, IEEE International Conference on NEW Circuits and Systems (NEWCAS 2015), Grenoble, France, pp. 1-4, 2015. [PDF]M. Ibrahim, A. Eltaliawy, H. Mostafa, and Y. Ismail, “A New Digital Current Sensing Technique Suitable for Low Power Energy Harvesting Systems”, IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), Cairo Egypt, pp. 1-4, 2015. [PDF]
  29. K. O. Ragab, H. Mostafa, and A. Eladawy, “TDC SAR Algorithm with Continuous Disassembly (SAR-CD) for Time-Based ADCs”, IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), Cairo Egypt, pp. 1-4, 2015. [PDF]
  30. S. Abdel-Aziz, O., H. Mostafa, T. Ismail, and S. Gabran, “Low-Power Implantable Seizure Detection Processor”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, pp. 496-497, 2015.[PDF]
  31. A. El-Bayoumi, H. Mostafa, and A. M. Soliman, “A New 16-Bit Low-Power PVT-Calibrated Time-Based Di
    erential Analog-to-Digital Converter (ADC) Circuit in CMOS 65nm Technology”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, pp. 492-493, 2015. [PDF]