Publications

In Press

H.Draz,  H., S. R. I. Gabran, M. Basha, H. Mostafa, M. Abu-Elyazeed, and A. Zaki, Comparative Mechanical Analysis of Deep Brain Stimulation Electrodes“, Springer Nature: BioMedical Engineering OnLine, In Press.

M.Shehata, S. M. Said, and H. Mostafa, Dual Notched Band Quad-Element MIMO Antenna with Multi-Tone Interference Suppression for IR-UWB Wireless Applications“, IEEE Transactions on Antennas and Propagation (TAP), In Press.

K.Helal, S. Attia, H. Fahmy, T. Ismail, Y. Ismail, and H. Mostafa, Dual Split-Merge: A High Throughput Router Architecture for FPGAs“, Elsevier Microelectronics Journal, In Press.

A.Baher, A. N. El-Zeiny, A. Aly, A. Khalil, A. Hassan, A. Saeed, K. A. ElMakarem, M. E. Moursy, and H. Mostafa, Dynamic Power Estimation using Transaction Level Modeling“, Elsevier Microelectronics Journal, In Press.

H.Ibrahim, M. F. Ragaee, and H. Mostafa, Experimental Analysis of the Boundary Scan as a Design for Testing Technique“, International Conference on Electrical Engineering, Military Technical College, Cairo, Egypt, In Press.

A.Hassan, H., H. Mostafa, K. N. Salama, and A. M. Soliman, A Low-Power Time-Domain Comparator for IoT Applications“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, In Press.

A.Hassan, H. Mostafa, and H. A. H. Fahmy, NoC-DPR: A New Simulation Tool Exploiting the Dynamic Partial Reconfiguration (DPR) on Network-on-Chip (NoC) Based FPGA“, Elsevier Integration VLSI Journal, In Press.

S.Attia, H. A. H. Fahmy, and H. Mostafa, Optimizing FPGA-based Hard Networks-on-Chip by Minimizing and Sharing Resources“, Elsevier Integration VLSI Journal, In Press.

Ashraf, M., H. Mostafa, A. Eladawy, and Y. Ismail, Power Adaptive High-Resolution Neural Data Compression Algorithm (PANDCA) “, Elsevier Microelectronics Journal, In Press.

2018

Hassan, S., H. Mostafa, and K. N. Salama, An Approximate Multiplier Based Hardware Implementation of the Izhikevich Model“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 492-495, 2018. [PDF]

El-Maksoud, A. A. J., Y. O. Elmasry, K. N. Salama, and H. Mostafa, ASIC Oriented Comparative Analysis of Biologically Inspired Neuron Models“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 504-507, 2018. [PDF]

Ahmed, I., H. Mostafa, and A. N. Mohieldin, Automatic Clock Domain Crossing Verification Flow for Dynamic Partial Reconfiguration“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 1122-1125, 2018. [PDF]

Shehata, M., M. S. Said, and H. Mostafa, A Compact UWB Antenna Design for Indoor Wireless Applications“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 202-205, 2018. [PDF]

Yousef, M., A. Hosny, W. Gamil, M. ADEL, H. M. Fahmy, S. M. Darweesh, and H. Mostafa, Dual-Mode Forward Collision Avoidance Algorithm Based on Vehicle-to-Vehicle (V2V) Communication“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 739-742, 2018. [PDF]

Ahmed, I., H. Mostafa, and A. Mohielddin, Dynamic Partial Reconfiguration Verification Using Assertion Based Verification“, IEEE International Conference on Design and Technology of Integrated Systems in Nanoscale Era (DTIS 2018), Taormina, Italy, pp. 1-2, 2018. [PDF]

Khateb, K., M. Ahmed, A. K. ELdin, M. AbdelGhany, and H. Mostafa, Dynamically Reconfigurable Power Efficient Security for Internet of Things Devices“, IEEE International Conference on Modern Circuits and Systems Technologies (MOCAST’2018), Thessaloniki, Greece, pp. 1-4, 2018. [PDF]

Shehata, M., M. S. Said, and H. Mostafa, Evaluation and Optimization of the Bit Rate Distance Relationships in IR-UWBoF Systems“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 656-659, 2018. [PDF]

Mostafa, H., Experimental Study of the Adaptive Body Bias on-Chip (ABBoC) for Bias Temperature Instability (BTI) and Process Variations (PV) Compensation“, IEEE International Conference on Modern Circuits and Systems Technologies (MOCAST’2018), Thessaloniki, Greece, pp. 1-4, 2018. [PDF]

Ali, R., H. Mostafa, and A. Hussein, Impact of Dynamic Partial Reconfiguration on CONNECT Network-on-Chip for FPGAs“, IEEE International Conference on Design and Technology of Integrated Systems in Nanoscale Era (DTIS 2018), Taormina, Italy, pp. 1-5, 2018. [PDF]

Zaky, A., A. Ahmed, P. Ibrahim, B. Mahmoud, and H. Mostafa, In-Out Cylindrical Triboelectric Nanogenerators Based Energy Harvester“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 1118-1121, 2018. [PDF]

Elgammal, M. A., O. A. Elkhouly, H. Elhosary, M. E. Sayed, A. Mohieldin, K. N. Salama, and H. Mostafa, Linear and Nonlinear Feature Extraction for Neural Seizure Detection“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 795-798, 2018. [PDF]

Elnabawy, A., H. Abdelmohsen, M. Moustafa, M. Elbediwy, A. Helmy, and H. Mostafa, A Low Power CORDIC-Based Hardware Implementation of Izhikevich Neuron Model“, IEEE International NEW Circuits and Systems Conference (NEWCAS 2018), Montreal, Quebbec, Canada, pp. 130-133, 2018. [PDF]

Hassan, A. H., H. Mostafa, Y. Ismail, and A. M. Soliman, A Low-Power High-Efficiency Inductive Link Power Supply for Neural Recording and Stimulation SoC“, American Scientific Publisher (ASP) Journal of Low Power Electronics (JLOPE), vol. 14, issue 1, pp. 129-139(11), 2018.

Abdelmohsen, A., M. Abdelwahab, M. ADEL, M. Saeed, and H. Mostafa, LTE Handover Parameters Optimization Using QLearning Technique“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 194-197, 2018. [PDF]

Shaheen, M., H. A. H. Fahmy, and H. Mostafa, Modified Connect: New Bufferless Router for NoC-Based FPGAs“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 424-427, 2018. [PDF]

Maximous, G. S., A. M. Fatahalla, A. Seleym, T. A. Ashour, and H. Mostafa, A New CAD Tool for Energy Optimization of Diagonal Motion Mode of Attached Electrode Triboelectric Nanogenerators“, IEEE International NEW Circuits and Systems Conference (NEWCAS 2018), Montreal, Canada, pp. 331-334, 2018. [PDF]

Elgabry, M., A. Hassan, H. Mostafa, and A. M. Soliman, A New Design Methodology for Voltage-to-Frequency Converters (VFCs) Circuits Suitable for Time-Based Analog-to-Digital Converters (T-ADC)“, Springer Analog Integrated Circuits and Signal Processing, vol. 94, issue 2, pp. 277–287, 2018. [PDF]

Hossam, H., G. Mamdouh, H. H. Hussein, M. El-Dessouky, and H. Mostafa, A New Read Circuit for Multi-Bit Memristor-Based Memories Based on Time to Digital Sensing Circuit“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 1114-1117, 2018. [PDF]

Saeed, M., T. Ismail, and H. Mostafa, On RF Telemetry for Implantable Medical Devices: A Communication Theory Perspective“, IEEE International Symposium on Communication Systems, Networks, and Digital Signal Processing (CSNDSP’2018), Budapest, Hungary, pp. 1-6, 2018. [PDF]

Ahmed, I., H. Mostafa, and A. N. Mohieldin, On the Functional Verification of Dynamic Partial Reconfiguration“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 1126-1129, 2018. [PDF]

Shehata, M., H. Mostafa, and Y. Ismail, On The Theoretical Limits of The Power Efficiency of Photonically Generated IR-UWB Waveforms“, IEEE Journal of Lightwave Technology (JLT), vol. 36, issue 10, pp. 2017 – 2023, 2018. [PDF]

Mohammed, A., M. Shehata, H. Mostafa, and A. Nassar, Peak-to-Average Power Ratio Suppression Using Companding Schemes in OFDM Systems“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 933-936, 2018. [PDF]

Shehata, M., and H. Mostafa, Photodetected Power Maximization of Photonically Generated Impulse Radio Ultrawide Band Signals“, IEEE International Symposium on Circuits and Systems (ISCAS 2018), Florence, Italy, pp. 1-4, 2018. [PDF]

Shehata, M., and H. Mostafa, A Single Wavelength Photonic Network on Chip Design Based on Optical Orthogonal Codes“, IEEE International Symposium on Circuits and Systems (ISCAS 2018), Florence, Italy, pp. 1-4, 2018. [PDF]

Abdelkader, O., M. M. El-Din, H. Mostafa, H. Abdelhamid, H. A. H. Fahmy, Y. Ismail, and A. M. Soliman, Technology Scaling Roadmap for FinFET-Based FPGA Clusters Under Process Variations“, Journal of Circuits, Systems, and Computers, vol. 27, issue 4, pp. 1850056_1-32, 2018. [PDF]

Hossam, H., M. Dessouki, and H. Mostafa, Time-Based Read Circuit for Multi-Bit Memristor Memories“, IEEE International Conference on Modern Circuits and Systems Technologies (MOCAST’18), Thessaloniki, Greece, pp. 1-4, 2018. [PDF]

Ahmed, I., A. K. ELdin, H. Mostafa, and A. Mohieldin, Utilizing Dynamic Partial Reconfiguration to Reduce the Cost of FPGA Debugging“, IEEE International NEW Circuits and Systems Conference (NEWCAS 2018), Montreal, Canada, pp. 205-208, 2018. [PDF]

2017

Hassan, A. H., A. Ali, W. M. Ismail, M. Refky, Y. Ismail, and H. Mostafa, A 1 Gs/S 6-Bit Time-Based Analog-to-Digital Converter (T-ADC) for Front-End Receivers“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Boston, MA, USA, pp. 1605-1608, 2017. [PDF]

Beheiry, M., H. Mostafa, Y. Ismail, and A. M. Soliman, 3D-NOCET: A Tool for Implementing 3D-NoCs Based on the Direct-Elevator Algorithm“, International Symposium on Quality Electronic Design (ISQED 2017)), Santa Clara, California, USA, IEEE, pp. 144-148, 2017. [PDF]

Shehata, M., H. Mostafa, and Y. Ismail, Accurate Closed Form Expressions for The Bit Rate-Distance Relationship in IR-UWBoF Systems“, IEEE Communications Letters, vol. 21, issue 10, pp. 2138-2141, 2017. [PDF]

Nafea, S. F., A. A. S. Dessouki, S. El-Rabaie, B. E. Elnaghi, Y. Ismail, and H. Mostafa, Area-Efficient Read/Write Circuit for Spintronic Memristor Based Memories“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Boston, MA, USA, pp. 1544-1547, 2017. [PDF]

Zaky, A., M. Shehata, Y. Ismail, and H. Mostafa, Characterization and Model Validation of Triboelectric Nanogenerators using Verilog-A“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Boston, MA, USA, pp. 1536-1539, 2017. [PDF]

Shehata, M., H. Mostafa, and Y. Ismail, Closed Form Expressions and Bounds for The Signal to Noise Ratio in IR-UWBoF Systems“, IEEE Photonics Technology Letters, vol. 29, issue 6, pp. 507-510, 2017. [PDF]

Salaheldin, A., H. Mostafa, and A. M. Soliman, A CODEC, Tiles to NoC Router Interface, for Next Generation FPGAs with Embedded NoCs“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Boston, MA, USA, pp. 1228-1231, 2017. [PDF]

Yasser, D., M. Elgamal, M. Atef, O. Hamada, A. H. Hassan, and H. Mostafa, A Comparative Analysis of Optimized Low-Power Comparators for Biomedical-ADCs“, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 129-132, 2017. [PDF]

ELdin, A. K., I. Ahmed, A. Obeid, A. Shalash, Y. Ismail, and H. Mostafa, A Cost-Effective Dynamic Partial Reconfiguration Implementation Flow for Xilinx FPGA“, IEEE International NEW Generation of Circuits and Systems (NGCAS 2017), Genova, Italy, pp. 281-284, 2017. [PDF]

ELdin, A. K., A. Mohamed, A. Nagy, Y. Gamal, A. Shalash, Y. Ismail, and H. Mostafa, Design Guidelines for the High-Speed Dynamic Partial Reconfiguration Based Software Defined Radio Implementations on Xilinx Zynq FPGA“, IEEE International Symposium on Circuits and Systems (ISCAS 2017), Baltimore, USA, pp. 1-4, 2017. [PDF]

Fouad, A., Y. Ismail, and H. Mostafa, Design of a Time-Based Capacitance-to-Digital Converter Using Current Starved Inverters“, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 319-322, 2017. [PDF]

Ahmed, A., I. Hassan, T. Ibn-Mohammed, H. Mostafa, I. M. Reaney, L. S. C. Koh, J. Zu, and Z. Wang, Environmental life cycle assessment and techno-economic analysis of triboelectric nanogenerator“, Royal Society of Chemistry: Energy and Environmental Science, vol. 10, issue 3, pp. 653-671, 2017. [PDF]

Hassan, A., H. A. H. Fahmy, Y. Ismail, and H. Mostafa, Exploiting the Dynamic Partial Reconfigurtion on NoC-Based FPGA“, IEEE International NEW Generation of Circuits and Systems (NGCAS 2017), Genova, Italy, pp. 277-280, 2017. [PDF]

Elgemmazy, H., A. Helmy, H. Mostafa, and Y. Ismail, High CMRR and Wideband Current-Mode Instrumentation Amplifier Using Fully Differential Operational Floating Conveyor“, IEEE International Japan-Africa Conference on Electronics, Communications, and Computers (JAC-ECC ‘ 2017), Alexandria, Egypt, pp. 41-44, 2017. [PDF]

Alsenwi, M., M. Saeed, T. Ismail, S. Gabran, and H. Mostafa, Hybrid Compression Technique with Data Segmentation for Electroencephalography Data“, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 235-238, 2017. [PDF]

Elaskary, R. M., M. Saeed, T. Ismail, H. Mostafa, and S. Gabran, Hybrid DCT/Quantized Huffman Compression for Electroencephalography Data“, IEEE International Japan-Africa Conference on Electronics, Communications, and Computers (JAC-ECC ‘ 2017), Alexandria, Egypt, pp. 119-122, 2017. [PDF]

Abubakr, A., A. Ibrahim, Y. Ismail, and H. Mostafa, The Impact of Soft Errors on Memristor-Based Memory“, IEEE International NEW Generation of Circuits and Systems (NGCAS 2017), Genova, Italy, pp. 229-232, 2017. [PDF]

Elgemmazy, H., A. Helmy, H. Mostafa, and Y. Ismail, An Improved Design for High Speed Analog Applications of The Fully Differential Operational Floating Conveyor“, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 307-310, 2017. [PDF]

Selmy, M. I., H. Mostafa, and A. A. S. Dessouki, Low Power Memristor Based Voltage Controlled Oscillator For Electrical Neural Stimulation“, IEEE International Conference on Advanced Control Circuits and Systems and New Paradigms in Electronics & Information Technology (ACCS/PEIT 017), Alexandria, Egypt, pp. 344 – 347 , 2017. [PDF]

Helal, K., A. Y. Aboelmakarem, A. – M. B. R. Fouad, T. S. Kamel, K. A. M. Nageeb, M. M. K. Mohamed, M. M. Abdelrhman, Y. Ismail, and H. Mostafa, Low-Power High-Accuracy Seizure Detection Algorithms for Neural Implantable Platforms“, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 231-234, 2017. [PDF]

Hassan, A., M. Elbadry, Y. Ismail, and H. Mostafa, A Low-Power Self-Startup Bandgap Circuit for Energy Efficient Applications“, IEEE International NEW Generation of Circuits and Systems (NGCAS 2017), Genova, Italy, pp. 29-32, 2017. [PDF]

Abdelbaky, A., and H. Mostafa, New Low Area NB-IoT Turbo Encoder Interleaver by sharing resources“, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 66-69, 2017. [PDF]

Maximous, G., A. El-Gunidy, H. Mostafa, T. Ismail, and S. Gabran, A New Sensitivity-Specificity Product-Based Automatic Seizure Detection Algorithm“, IEEE International Japan-Africa Conference on Electronics, Communications, and Computers (JAC-ECC ‘ 2017), Alexandria, Egypt, pp. 115-118, 2017. [PDF]

Elgemmazy, H., A. Helmy, H. Mostafa, and Y. Ismail, A Novel CMOS-based Fully Differential Operational Floating Conveyor“, IEEE International Symposium on Very Large Scale Integration (ISVLSI 2017), Bochum-Germany, pp. 604-608, 2017.

Elbayoumi, A., H. Mostafa, and A. M. Soliman, A Novel MIM-CapacitorBased 1-GS/s 14-bit Variation-Tolerant Fully-Differential Voltage-to- Time Converter (VTC) Circuit“, Journal of Circuits, Systems and Computers (JCSC), vol. 26, issue 5, pp. 1-35, 2017. [PDF]

El-Adawy, M., A. K. ELdin, H. Mostafa, and S. Said, Performace Evalution of Turbo Encoder Implemention on a Heterogeneous FPGA-CPU Platform Using SDSoC“, IEEE International Conference on Advanced Control Circuits and Systems and New Paradigms in Electronics & Information Technology (ACCS/PEIT 017), Alexandria, Egypt, pp. 286 – 290 , 2017. [PDF]

ELdin, A. K., S. Hosny, K. Mohamed, M. Gamal, A. Hussein, E. Elnader, A. Shalash, A. M. Obeid, Y. Ismail, and H. Mostafa, A Reconfigurable Hardware Platform Implementation for Software Defined Radio using Dynamic Partial Reconfiguration on Xilinx Zynq FPGA“, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Boston, MA, USA, pp. 1540-1543, 2017. [PDF]

Shehata, M., and H. Mostafa, A Simplified Approach for Error Rate Analysis in Realistic Free Space Optical Fading Channels“, IEEE International Conference on Advanced Control Circuits and Systems and New Paradigms in Electronics & Information Technology (ACCS/PEIT 017), Alexandria, Egypt, pp. 218-222, 2017. [PDF]

Sadek, A., H. Mostafa, A. Nassar, and Y. Ismail, Towards the Implementation of Multi-band Multi-standard Software Defined Radio using Dynamic Partial Reconfiguration“, International Journal of Communication Systems, pp. 1-12, 2017. [PDF]

Fahmy, H., G. Baumann, M. AbdelGhany, and H. Mostafa, V2V-Based Vehicle Risk Assessment and Control for Lane-Keeping and Collision Avoidance“, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 61-65, 2017. [PDF]

Hassan, A., E. Hamed, E. Badr, O. Sharkawy, Y. Ismail, and H. Mostafa, A VCO-Based MPPT Circuit for Low-Voltage Energy Harvesters“, IEEE International Symposium on Very Large Scale Integration (ISVLSI 2017), Bochum-Germany, pp. 580-584, 2017. [PDF]

2016

Hussein, A., V. Gaudet, H. Mostafa, and M. Elmasry, A 16-bit High Speed Low Power Hybrid Adder“, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, IEEE, pp. 313-316, 2016. [PDF]

Hassan, A. H., M. W. Ismail, Y. Ismail, and H. Mostafa, A 200 MS/s 8-bit Time-Based Analog-to-Digital Converter with Inherit Sample and Hold“, IEEE International System on Chip Conference (SOCC’2016), Seattle, WA, USA, IEEE, pp. 120-124, 2016. [PDF]

Abdelkader, M., A. Ali, A. Abdelaziz, W. M. Ismail, M. Refky, Y. Ismail, and H. Mostafa, A 200MS/s, 8-bit Time-based Analog to Digital Converter (TADC) in 65nm CMOS Technology“, IEEE International Japan-Egypt Conference on Electronics, Communications and Computers (JEC-ECC’2016)), Cairo, Egypt, IEEE, pp. 25-28, 2016. [PDF]

Bahnasawi, M. A., K. Ibrahim, A. Mohamed, M. Khalifa, A. Moustafa, K. Abelmonim, Y. ismail, and H. Mostafa, ASIC-Oriented Comparative Review of Hardware Security Algorithms for Internet of Things Applications“, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, IEEE, pp. 285-288, 2016. [PDF]

Elgabry, M., H. Mostafa, and A. M. Soliman, A Comparative Study of the Voltage-to-Time Converters (VTCs) and the Voltage-to-Frequency Converters (VFCs) Circuits“, IEEE International Japan-Egypt Conference on Electronics, Communications and Computers (JEC-ECC’2016)), Cairo, Egypt, IEEE, pp. 21-24, 2016. [PDF]

Ismail, K., T. Ismail, Y. Ismail, and H. Mostafa, Design and Implementation of CDR and SerDes for High-speed Optical Communication Networks using FPGA“, IEEE International Conference on Transparent Optical Networks (ICTON 2016), Trento, Italy, IEEE, pp. 1-3, 2016. [PDF]

Gamal, N., H. Fahmy, Y. Ismail, and H. Mostafa, Design Guidelines for Embedded NoCs on FPGAs“, IEEE International Conferrence on Quality Electronic Design (ISQED’2016), Santa Clara, California, USA, IEEE, pp. 69-74, 2016. [PDF]

Gamal, N., H. A. H. Fahmy, Y. Ismail, T. Ismail, M. Mohie-Eldin, and H. Mostafa, Design Guidelines for Soft Implementations to Embedded NoCs of FPGAs“, Innternational Design and Test Symposium (IDT 2016), Hammamet, Tunisia, IEEE, pp. 37-42, 2016. [PDF]

Elbayoumi, A., H. Mostafa, and A. M. Soliman, Design of High-Performance Di erential Voltage-to-Time Converters, , Saarbrücken, Germany, LAP LAMBERT Academic Publishing, 2016. Cover

Abdelkhalek, E., Y. El-Sayed, T. Ismail, and H. Mostafa, Electrical and Optical Clock and Data Recovery in Optical Access Networks: A Comparative Study“, International Journal of Communication Systems, pp. 1-10, 2016. [PDF]

Mohie-Eldin, M., H. A. H. Fahmy, Y. Ismail, N. Gamal, and H. Mostafa, Leakage Power Evaluation of FinFET-Based FPGA Cluster Under Threshold Voltage Variation“, Innternational Design and Test Symposium (IDT 2016), Hammamet, Tunisia ( Best Paper Award: First Place), IEEE, pp. 137-141, 2016. [PDF]

Ashraf, M., H. Mostafa, and A. Eladawy, A Low-Power Area-Effcient Design and Comparative Analysis for High-Resolution Neural Data Compression“, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, IEEE, pp. 217-220, 2016. [PDF]

Ragab, K. O., H. Mostafa, and A. Eladawy, A Novel 10-bit 2.8mW Time-to-Digital Converter Design using SAR with Continuous Dis-assembly Algorithm“, IEEE Transactions on Circuits and Systems II (TCAS-II), vol. 63, issue 10, pp. 909-913, 2016. [PDF]

Elmasry, M., M. Medhat, and H. Mostafa, Novel Ultra Low Voltage Mobile Compatible RF MEMS Switch for Reconfigurable Microstrip Antenna“, IEEE International System on Chip Conference (SOCC’2016), Seattle, WA, USA, IEEE, pp. 286-289, 2016. [PDF]

Abdelsalam, H., E. Hegazi, H. Mostafa, and Y. Ismail, On the use of a programmable front-end for multi-band/multi-standard applications“, Elsevier Microelectronics Journal, vol. 49, pp. 1-9, 2016. [PDF]

Asenwi, M., T. Ismail, and H. Mostafa, Performance Analysis of Hybrid Lossy/Lossless Compression Techniques for EEG Data“, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, IEEE, pp. 1-4, 2016. [PDF]

Mostafa, H., and Y. Ismail, Process Variation Aware Design of Multi-Valued Spintronic Memristor-Based Memory Arrays“, IEEE Transactions on Semiconductor Manufacturing (TSM), vol. 29, issue 2, pp. 145-152, 2016. [PDF]

Nafea, S. F., A. A. S. Dessouki, S. El-Rabaie, Kh.El-Barbary, and H. Mostafa, Read Disturbance and Temperature Variation Aware Spintronic Memristor Model“, IEEE Canadian Conference on Electrical and Computer Engineering (CCECE’2016), Vancouver, Canada, pp. 1-4, 2016. [PDF]

Mostafa, H., and Y. Ismail, Statistical Yield Improvement Under Process Variations of Multi-Valued Memristor-Based Memories“, Elsevier Microelectronics Journal, vol. 51, pp. 46-57, 2016. [PDF]

Hassan, A. H., H. Mostafa, T. Ismail, and S. Gabran, An Ultra-Low Power Voltage-to-Time Converter (VTC) Circuit for Low Power and Low Speed Applications“, IEEE International System on Chip Conference (SOCC’2016), Seattle, WA, USA, IEEE, pp. 178-182, 2016. [PDF]

Belal, E., H. Mostafa, Y. Ismail, and S. M. Said, A Voltage Multiplying AC/DC Converter for Energy Harvesting Applications“, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, IEEE, pp. 229-232, 2016. [PDF]

2015

Abdelsalam, H., E. Hegazy, H. Mostafa, and Y. Ismail, A Tunable Multi-band/Multi-standard Receiver Front-End Supporting LTE “, IEEE International Symposium on Circuits and Systems (ISCAS 2015), Lisbon, Portugal, IEEE, pp. 974-977, 2015. [PDF]

Elsayed, Y., A. Wageeh, T. Ismail, and H. Mostafa, All-Optical Clock and Data Recovery using Self-Pulsating Lasers for High-Speed Optical Networks“, IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), Cairo Egypt (Best Paper Award), IEEE, pp. 1-3, 2015. [PDF]

Edward, N., Y. Ghallab, H. Mostafa, and Y. Ismail, A CMOS Based Operational Floating Current Conveyor“, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, IEEE, pp. 157-160, 2015. [PDF]

Edward, N., Y. Gallab, H. Mostafa, and Y. Ismail, A CMOS Based Operational Floating Current Conveyor and its Applications“, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, IEEE, pp. 494-495, 2015. [PDF]

El-Attar, A., S. Ahmed, Y. Abdelkader, A. Hassan, and H. Mostafa, A Comparative Analysis of Optimized CMOS Neural Amplifier“, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, IEEE, pp. 107-110, 2015. [PDF]

Safieldeen, B., H. Mostafa, H. Abdelhamid, and Y. Ismail, A Comparative Evaluation of Single-Walled Carbon Nanotubes and Copper in Interconnects and Through-Silicon Vias“, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, IEEE, pp. 519-522, 2015. [PDF]

Helal, K. A., S. Attia, T. Ismail, and H. Mostafa, Comparative Review of NOCs in the Context of ASICs and FPGAs“, IEEE International Symposium on Circuits and Systems (ISCAS 2015), Lisbon, Portugal, IEEE, pp. 1866-1869, 2015. [PDF]

Belal, E., H. Mostafa, and S. M. Said, Comparison between Active AC-DC Converters For Low Power Energy Harvesting Systems“, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, IEEE, pp. 253-256, 2015. [PDF]

Tawfik, N. G., H. Mostafa, and Y. Ismail, Comparison Between Analog and Digital Locking MPPT Unit for Micro-scale PV Energy Harvesting Systems“, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, IEEE, pp. 67-70, 2015. [PDF]

Elshamy, M., H. Mostafa, and S. M. Said, Design of Read/Write Circuits for Memristor-Based Memory Arrays, , Saarbrücken, Germany, LAP LAMBERT Academic Publishing, 2015. COVER.pdfWebsite

Mostafa, H., and Y. Ismail, A Design-Oriented Timing Jitter/Skew Model in Voltage-to-Time Converter (VTC) Circuits“, Analog Integrated Circuits and Signal Processing, SPRINGER, vol. 82, issue 1, pp. 309-321, 2015. [PDF]