Conference Papers

  1. A. Osama, A. Mostafa, M. Gamal, U. Imam, A. Khalil, E. Mamdouh, I. Ahmed, M. Taha, and H. Mostafa, ” A Case Study in Applying Functional Safety On A* Accelerator Design , ” IEEE Interdisciplinary Conference on Electrics and Computer (INTCEC 2024), Romeoville, Illinois, USA. In Press.
  2. Y. Matloob, A. WallyAllah, M. Abdel-Halim, M. Sabry, S. El-Tantawy, and H. Mostafa, “TimeFusionNet for End-to-End Self-Driving Cars”, IEEE International Novel Intelligent and Leading Emerging Sciences Conference (NILES 2023), Cairo, Egypt. In Press
  3. A. Mosbeh, A. Ibrahim, K. Yousef, and H. Mostafa, “Low Power Microarchitecture Designs of ACS Block in Viterbi Decoder: A Review, ” IEEE International Conference on Information Communication and Management (ICICM 2023), Cairo, Egypt, In Press.
  4. A. N. El-Zeiny, A. Hassan, H. Mostafa, A. H. Khalil, ” Mini-YOLOX: A Lightweight Network for Real-Time Embedded Applications , “, IEEE International MidWest Symposium on Circuits and Systems (MWCAS 2023), Arizona, USA, In Press.
  5. E. Abdelbary, M. Sharaf and H. Mostafa, ” Efficient ASIC Implementation for Satellite-IoT Security Co-processor , ” IEEE International Conference on Modern Circuits and Systems Technologies (MOCAST 2023), Athens, Greece, In Press.
  6. A. Saeed, A. Tawfik, and H. Mostafa, ” SoC-Oriented Implementation of Machine Learning Based Breast Cancer Classification Algorithm ,” IEEE International Mediterranean Conference on Embedded Computing (MECO 2023), Budva, Montenegro. In Press
  7. Y. Nabil, H. ElSawy, S. Al-Dharrab, H. Attia, and H. Mostafa, “A stochastic geometry analysis for joint radar communication system in millimeter-wave band,” IEEE International Conference on Smart Mobility (SM 2023), KAUST, KSA. In Press
  8. Y. Nabil, H. ElSawy, S. Al-Dharrab, H. Attia, H. Mostafa, Ahmed H. Khalil, and Ibrahim Qamar“ Mobile Aerial Base Stations for Ultra-Reliable and Energy-Efficient Downlink Communications,” IEEE International Conference on Communications (ICC 2023), Rome, Italy. In Press
  9. H. A. Gamal, A. Haggag, H. Mostafa, “2T1M Neuromorphic Synapse with Pt-Hf-Ti Memristor Model ,” Springer International Conference on System-Integrated Intelligence (SysInt 2022), Genova, Italy, pp. 714–726, 2023.
  10. A. Elshazly, M. Mounir, M. K. Khalaf, F. Saffih, Y. Elogail, H. Mostafa, ” Design and Simulation of a Novel Low-Voltage RF MEMS Switch for Reconfigurable Antennas ,” Springer International Conference on System-Integrated Intelligence (SysInt 2022), Genova, Italy, pp. 708–713, 2023.
  11. K. Mahmoud, R. Ahmed, K. Ayman, M. Ayman, W. Taie, Y. Ibrahim, H. Mostafa, and K. Salah, “Towards a Generic UVM”,  IEEE International High Performance Extreme Computing Conference (HPEC 2022), Massachusetts, USA, pp. 1-6, 2022.
  12. M. Fawzy, A. H. Khalil, and H. Mostafa, ” FPGA Utilized Implementation of Epileptic Seizure Detection System Based on Wearable Devices using Dynamic Partial Reconfiguration, ” IEEE International Japan-Africa Conference on Electronics, Communications and Computations (JAC-ECC 2022), Cairo, Egypt, pp. 119-124, 2022.[PDF]
  13. M. Khalifa, R. Hassan, O. Nasr and H. Mostafa, ” Efficient Hardware/Software Implementation for GoogLeNet Using Xilinx SDSoC ,” IEEE Novel Intelligent and Leading Emerging Sciences conference (NILES 2022), Cairo, Egypt, pp. 1-4, 2022.
  14. A. Emad, H. Mohamed, A. Farid, M. Hassan, R. Sayed, H. Aboushady, and H. Mostafa, “Deep Learning Modulation Recognition for RF Spectrum Monitoring” , IEEE International Symposium on Circuits and Systems (ISCAS 2021), Daegu, Korea, pp. 1-5, 2021.[PDF]
  15. A. Magdy, S. Assem, A. Hussien, and H. Mostafa, “Low Power, Dual Mode Bluetooth 5.1/Bluetooth Low Energy Receiver Design”, IEEE International Symposium on Circuits and Systems (ISCAS 2021), Daegu, Korea, pp. 1-5, 2021.[PDF]
  16. A. Elabany, A. Nassar, and H. Mostafa, “Design Optimization of Multi-Input Reconfigurable Capacitive DC-DC Converters: A CAD Tool Approach” , IEEE International Symposium on Circuits and Systems (ISCAS 2021), Daegu, Korea, pp. 1-5, 2021. [PDF]
  17. A. L. Elgreatly, A. Dessouki, H. Mostafa, R. Abdalla and E. Elrabaie, “A 4 GS/s 3-bit Highly Linear Time-Based Analogto-Digital Converter for Massive MIMO Systems “, IEEE International Japan-Africa Conference on Electronics, Communications and Computations (JAC-ECC 2021), Alexandria, Egypt, pp. 1-4, 2021.
  18. M. Fawzy, A. H. Khalil, and H. Mostafa, ” High Accuracy Epileptic Seizure Detection System Based on Wearable Devices Using Support Vector Machine Classifier, ” IEEE International Conference on Microelectronics (ICM 2021), Cairo, Egypt, pp. 124-127, 2021.[PDF]
  19. M. H. Abdulmonem, A. K. Ismail, and H. Mostafa,  ”Design and Implementation of Authenticated Encryption Co-Processors for Satellite Hardware Security , ” IEEE International Conference on Microelectroncis (ICM 2021), Cairo, Egypt, pp. 40-44, 2021.[PDF]
  20. A. Osama, A. Mostafa, E. Mamdouh, M. Gamal, U. Imam, M. Taha, A. Khalil, I. Ahmed, H. Mostafa, ” Fast RTL Implementation of A* Path Planning Algorithm, “IEEE International Conference on Microelectroncis (ICM 2021), Cairo, Egypt, pp. 6-9, 2021.[PDF]
  21. M. Sarg, A. H. Khalil, and H. Mostafa, ” Efficient HLS Implementation for Convolutional Neural Networks on an SoC, ” IEEE International Conference on Microelectroncis (ICM 2021), Cairo, Egypt, pp. 1-4, 2021.[PDF]
  22. A. J. Abd El-Maksoud, A. Gamal, A. Hesham, G. Saied, M-A Ayman, O. Essam, S. M. Mohamed, E. El Mandouh, Z. Ibrahim, S. Mohamed, and H. Mostafa, ” Hardware-Accelerated ZYNQ-NET Convolutional Neural Networks on Virtex-7 FPGA, ” IEEE International Conference on Microelectroncis (ICM 2021), Cairo, Egypt, pp. 70-73, 2021.[PDF]
  23. A. J. Abd El-Maksoud, A. Mohamed, A. Tarek, A. Adel, A. Eid, F. Khaled, F. Khaled, E. El Mandouh and H. Mostafa, “FPGA Design of High-Speed Convolutional Neural Network Hardware Accelerator , ” IEEE Novel Intelligent and Leading Emerging Sciences conference (NILES 2021), Cairo, Egypt, pp. 384-387, 2021.[PDF]
  24. M. Elsayed, N. Hassan, M. Maher, N. Waleed, R. Reda, H. Sharaf Eldin and H. Mostafa, “, Guava Trees Disease Monitoring Using the Integration of Machine Learning and Predictive Analytics” IEEE Novel Intelligent and Leading Emerging Sciences conference (NILES 2021), Cairo, Egypt, pp. 388-392, 2021.[PDF]
  25. A. S. Hussein and H. Mostafa, ” ASIC-FPGA Gap for a RISC-V Core Implementation for DNN Applications , ” IEEE Novel Intelligent and Leading Emerging Sciences conference (NILES 2021), Cairo, Egypt, pp. 393-397, 2021.[PDF]
  26. A. M. Sawaby, A. M. Elshorbge, O. T. Abdelhalim, M. A. Farghaly, M. S. Taha, Y. H. Yehia, S. El-Sawy, M. Fouad and H. Mostafa, ” A 10 Gb/s SerDes Transceiver, ” IEEE Novel Intelligent and Leading Emerging Sciences conference (NILES 2021), Cairo, Egypt, pp. 398-402, 2021.[PDF]
  27. M. Elkattan, H. Mostafa, and A. Khalil, “Responsivity of Fluxgate Sensing Element Under Different Excitation Conditions”, IEEE International Conference on Intelligent and Advanced Systems (ICIAS), Kuala-Lumpur, Malaysia, In Press.
  28. M. Elkattan, H. Mostafa, and A. Khalil, “Effect of Excitation Parameters on Fluxgate Sensing Element Response”, IEEE Regional Symposium on Micro and Nanoelectronics (RSM 2021), Kuala-Lumpur, Malaysia, In Press.
  29. M. Elkattan, H. Mostafa, and A. Khalil, “Study of the Impact of Design Factors on Micro-Fluxgate Sensing Element,” IEEE International Conference on Sensors & Nanotechnology 2021, Kuala-Lumpur, Malaysia, In Press. 
  30. S. Ahmed, and H. Mostafa, “A Bayesian Optimization Framework for Analog Circuits Optimization”, IEEE International Conference on Computer Engineering and Systems (ICCES 2020), Cairo, Egypt, pp. 1-4, 2021.[PDF]
  31. M.A. Hassan, M.K. Abbas, A. Osama, D. Anwar, M. Azzam, S. Shafiey, H. Mostafa,  and I. Sobh, “GG-Net: Gaze guided network for self-driving car“, Society for Imaging Science and Technology, Electronics Imaging – Autonomous Vehicles and Machines (EI-AVM’2021), no. 171, United States, pp. 1-7, 2021. [PDF]
  32. A. Gabara, R. Yousri, D. Hamdy, M. H. Zakhari, and H. Mostafa, “Patient Specific Epileptic Seizures Prediction Based on Support Vector Machine”, IEEE International Conference on Microelectronics (ICM 2020), Jordan, pp. 1-4, 2020. Best Paper Award (Second Place). [PDF]
  33. M. H. Abdulmonem, J. EssamEddeen, M. H. Zakhari, S. Hanafi, and H. Mostafa, “Hardware Acceleration of Dash Mining Using Dynamic Partial Reconfiguration on the ZYNQ Board”, IEEE International Conference on Microelectronics (ICM 2020), Jordan, pp. 1-4, 2020. [PDF]
  34. H. H. Draz, E. Elmitwalli, M. Soliman, S. R. I. Gabran, M. Basha, H. Mostafa, M. Abu-Elyazeed, and A. Zaki, “Mechanical Analysis of Human DBS Electrodes”, IEEE International Conference on Microelectronics (ICM 2020), Jordan, pp. 1-6, 2020.[PDF]
  35. A. H. Hassan, Z. E. Mohamed, A. E. Fahmy, and H. Mostafa, “Design Trade-Offs for Neural Stimulators Optimization”, IEEE International Symposium on Circuits and Systems (ISCAS 2020), Seville, Spain, pp. 1-4, 2020.[PDF]
  36. A. M. Ruby, S. M. Soliman, and H. Mostafa, “Dynamically Reconfigurable Resource Efficient AES Implementation for IoT Applications”, IEEE International Symposium on Circuits and Systems (ISCAS 2020), Seville, Spain, pp. 1-4, 2020.[PDF]
  37. S. Hosny, E. Elnader, M. Gamal, A. Hussien, and H. Mostafa, “Multi-Partitioned Software Defined Radio Transceiver Based on Dynamic Partial Reconfiguration”, IEEE International Symposium on Circuits and Systems (ISCAS 2020), Seville, Spain, pp. 1-4, 2020.[PDF]
  38. N. Ashraf, Y. Mesbah, A. Abdulmaxoud, and H. Mostafa, “Enabling the 5G: Modelling and Design of High Q Film Bulk Acoustic Wave Resonator (FBAR) for High Frequency Applications”, IEEE International Symposium on Circuits and Systems (ISCAS 2020), Seville, Spain, pp. 1-4, 2020.[PDF]
  39. A. Hesham and H. Mostafa, “Energy-Efficient Near-Threshold Standard Cell Library for IoT Applications”, IEEE International Conference on Novel Intelligent and Leading Emerging Sciences (NILES 2020), Cairo, Egypt, pp. 1-6, 2020.[PDF]
  40. A. M. Salman, A. S. Tulan, R. Y. Mohamed, M. H. Zakhari and H. Mostafa, “Comparative Study of Hardware Accelerated Convolution Neural Network on PYNQ Board”, IEEE International Conference on Novel Intelligent and Leading Emerging Sciences (NILES 2020), Cairo, Egypt, pp. 1-5, 2020.[PDF]
  41. K. Mohamed, A. El-Shiekh, A. El-Alfy, A. Ammar, M. G. Gamal, M. Dessouky and H. Mostafa, “IPXACT-Based RTL Generation Tool “, IEEE International Conference on Novel Intelligent and Leading Emerging Sciences (NILES 2020), Cairo, Egypt, pp. 1-4, 2020.[PDF]
  42. A. H. Gad, S. E. E. Abdalazeem, O. A. Abdelmegid and H. Mostafa, “Low power and area SHA-256 hardware accelerator on Virtex-7 FPGA”, IEEE International Conference on Novel Intelligent and Leading Emerging Sciences (NILES 2020), Cairo, Egypt, pp. 1-5, 2020.[PDF]
  43. Y. K. Abdelmagid, R. T. Nawar, M. K. Rabie, A. S. Tulan, A. H. Hassan, A. Saleh and H. Mostafa, “Investigation of DW Spintronic Memristor performance in 2T1M Neuromorphic Synapse”, IEEE International Conference on Novel Intelligent and Leading Emerging Sciences (NILES 2020), Cairo, Egypt, pp. 1-5, 2020.[PDF]
  44. E. Youssef, H. A. Elsemary, M. A. El-Moursy, A. Khattab, H. Mostafa, “Energy Adaptive Convolution Neural Network Using Dynamic Partial Reconfiguration”, IEEE International MidWest Symposium on Circuits and Systems (MWSCAS 2020), Springfield, MA, USA, pp. 1-4, 2020.[PDF]
  45. M. A. Sharaf, E. Abdelbary, H. Mostafa, “Efficient ASIC Implementation of a NB-IoT Security Co-Processor”, IEEE International MidWest Symposium on Circuits and Systems (MWSCAS 2020), Springfield, MA, USA, pp.1-4, 2020.[PDF]
  46. I. M. Shaher, M. Mahmoud, H. Ibrahim, M. Ali, H. Mostafa, “Implementation of a Hardware Accelerator for a Real-Time Encryption System”, IEEE International MidWest Symposium on Circuits and Systems (MWSCAS 2020), Springfield, MA, USA, pp. 1-4, 2020.[PDF]
  47. A. Kamal, M. Ali, M. Faris, O. Monzer and H. Mostafa, ” Design and Analysis of Multi-Port SAW MEMS Resonators,” IEEE International Conference on Modern Circuits and Systems Technologies (MOCAST 2020), Bermen, Germany, pp.1-4, 2020.[PDF]
  48. K. O. Ragab, H. Mostafa and A. Eladawy, ” Digital calibration for SAR-CD TDC,” IEEE International Conference on Modern Circuits and Systems Technologies (MOCAST 2020), Bermen, Germany, pp. 1-4, 2020.[PDF]
  49. A. Hussien, S. Mohamed, M. Soliman, Hagar Mostafa, K. Salah, M. Dessouky, and Hassan Mostafa, “Development of a Generic and a Reconfigurable UVM-Based Verification Environment for SoC Buses, ” IEEE International Conference on Microelectronics (ICM 2019), Cairo, Egypt, pp. 195-198, 2019.[PDF]
  50. I. Alaa, N. Ahmed, M. Nader, A. Magdy, M. Ibrahim, M. Khalil, M. H. Zakhari, and H. Mostafa, “Seizure Prediction & Segmentation Merge Yielding a Boosted Low Power Model”, IEEE International Conference on Microelectronics (ICM 2019), Cairo, Egypt, pp. 178-181, 2019.[PDF]
  51. M. I. Selmy, H. Mostafa, and A. A. S. Dessouki, “Hardware Implementation of a Low Power MemristorBased Voltage Controlled Oscillator”, IEEE International Conference on Microelectronics (ICM 2019), Cairo, Egypt, pp. 258-261, 2019.[PDF]
  52. M. Abdou, R. Mohammed, Z. Hosny, M. Essam, M. Zaki, M. Hassan, M. Eid, and H. Mostafa, “End-to-End Crash Avoidance DeepIoT-based Solution”, IEEE International Conference on Microelectronics (ICM 2019), Cairo, Egypt, pp. 103-107, 2019.[PDF]
  53. M. Ali, S. Mohamed, M. Elshafey, and H. Mostafa, “Comparative Study for Some Memristor models in Different Circuit Applications”, IEEE International Conference on Microelectronics (ICM 2019), Cairo, Egypt, pp. 262-266, 2019. [PDF]
  54. A. Ghobashy, A. Ashraf, A. H. Hassan, K. N. Salama, H. Mostafa, and A. M. Soliman, “Design Optimization Methodology for High-Efficiency RF-to-DC Converters”, IEEE International Conference on Microelectronics (ICM 2019), Cairo, Egypt, pp. 320-324, 2019.[PDF]
  55. F. Atef, M. H. Zaky, N. K. Ahmed, M. A. Messiha, O. T. Abdelwahab, A. A. Farid, O. M. Selim, and H. Mostafa, “Automated Current Mirror Layout (ACML) Tool”, IEEE International Conference on Microelectronics (ICM 2019), Cairo, Egypt, pp. 182-185, 2019.[PDF]
  56. S. Taha, and H. Mostafa, “Accelerated Software Implementation of Authenticated Encryption Stream Ciphers for High Speed Applications “, IEEE International Conference on Microelectronics (ICM 2019), Cairo, Egypt, pp. 27-31, 2019.[PDF]
  57. S. Hareth, H. Mostafa, and K. A. Shehata, “Low power CNN hardware FPGA implementation”, IEEE International Conference on Microelectronics (ICM 2019), Cairo, Egypt, pp. 162-165, 2019. [PDF]
  58. A. Mohsen, M. Gad, Z. Mahmoud, G. Alshaer, M. Asy, and H. Mostafa, “Remote FPGA Lab for ZYNQ and Virtex-7 Kits”, IEEE International Mid-West Symposium on Circuits and Systems (MWSCAS 2019), Dallas, Texas, USA, pp. 1-4, 2019.[PDF]
  59. M. Elgammal, A. N. Mohieldin, and H. Mostafa, “A Comparison of Artificial Neural Network(ANN) and Support Vector Machine(SVM) Classifiers for Neural Seizure Detection”, IEEE International Mid-West Symposium on Circuits and Systems (MWSCAS 2019), Dallas, Texas, USA, pp. 1-4, 2019.[PDF]
  60. Abdelrahman M. Abotaleb, Abdulkareem M. Abotaleb, A. Wassal, and H. Mostafa, “Complete Security Stack FPGA Implementation of the Software Defined Radio on ZYNQ”, IEEE International Mid-West Symposium on Circuits and Systems (MWSCAS 2019), Dallas, Texas, USA, pp. 1-4, 2019.[PDF]
  61. E. Belal, A. Nassar, and H. Mostafa, “Design of Microscale Piezoelectric Energy Harvesting System”, IEEE International Mid-West Symposium on Circuits and Systems (MWSCAS 2019), Dallas, Texas, USA, pp. 1-4, 2019.[PDF]
  62. M. Shahata, E. Atef, M. Mohamed, and H. Mostafa, “A Generalized Geo-Electro-Mechanical Model for Triboelectric NanoGenerators”, IEEE International Mid-West Symposium on Circuits and Systems (MWSCAS 2019), Dallas, Texas, USA, pp. 1-4, 2019.[PDF]
  63. H. Rady, H. Hossam, S. M. Said, and H. Mostafa, “Memristor-Based AES Key Generation for Low Power IoT Hardware Security Modules”, IEEE International Mid-West Symposium on Circuits and Systems (MWSCAS 2019), Dallas, Texas, USA, pp. 1-4, 2019.[PDF]
  64. A. H. Hassan, and H. Mostafa, “Nondestructive Reading and Refreshment Circuit for Memristor-Based Neuromorphic Synapse”, IEEE International Mid-West Symposium on Circuits and Systems (MWSCAS 2019), Dallas, Texas, USA, pp. 1-4, 2019.[PDF]
  65. B. Saleeb, M. Shehata, H. Mostafa, and Yasmin Fahmy, “Performance Evaluation of RZF Precoding in Multi-User MIMO Systems”, IEEE International Mid-West Symposium on Circuits and Systems (MWSCAS 2019), Dallas, Texas, USA, pp. 1-4, 2019.[PDF]
  66. N. Samir, Y. Gamal, A. N. El-Zeiny, O. Mahmoud, A. Shawky, A. Saeed, and H. Mostafa, “Energy-Adaptive Lightweight Hardware Security Module Using Partial Dynamic Reconfiguration for Energy Limited Internet of Things Applications”, IEEE International Symposium on Circuits and Systems (ISCAS 2019), Sapporo, Japan, pp. 1-4, 2019. [PDF]
  67. M. Adel, A. Kotb, O. Farag, S. M. Darwish, and H. Mostafa, “Breast Cancer Diagnosis Using Image Processing and Machine Learning for Elastography Images “, IEEE International Conference on Modern Circuits and Systems Technology (MOCAST 2019), Thessaloniki, Greece, pp. 1-4, 2019.[PDF]
  68. Y. Alhazek, A. Ibrahim, M. Amer, A. Abubakr, and H. Mostafa, “Hardware Accelerated Epileptic Seizure Detection System Using Support Vector Machine “, IEEE International Conference on Modern Circuits and Systems Technology (MOCAST 2019), Thessaloniki, Greece, pp. 1-4, 2019. [PDF]
  69. C. Osama, Heba Magdy, H. Mahmoud, K. Khaled, M. Osama, Yara Hossam, S. Hosny, and H. Mostafa, “Wireless Communication Between ZYNQ 7000 FPGAs using USRP”, IEEE International Conference on Modern Circuits and Systems Technology (MOCAST 2019), Thessaloniki, Greece, pp. 1-4, 2019. [PDF]
  70. A. Aaraby, M. Abdelhameed, N. Magdy, L. ‘A. Said, N. Abdelaal, Y. Tarek, S. M. Darwish, M. Fahim, and H. Mostafa, “Smart IoT Monitoring System for Agriculture with Predictive Analysis”, IEEE International Conference on Modern Circuits and Systems Technology (MOCAST 2019), Thessaloniki, Greece, pp. 1-4, 2019. [PDF]
  71. A. Mohammed, M. Shehata, A. Nassar, and H. Mostafa, “Performance Comparison of Companding-Based PAPR Suppression Techniques in OFDM Systems”, IEEE International Conference on Modern Circuits and Systems Technology (MOCAST 2019), Thessaloniki, Greece, pp. 1-4, 2019. [PDF]
  72. A. Hosny, M. Yousef, W. Gamil, M. ADEL, H. Mostafa, and S. M. Darwish, “Demonstration of Forward Collision Avoidance Algorithm Based on V2V Communication “, IEEE International Conference on Modern Circuits and Systems Technology (MOCAST 2019), Thessaloniki, Greece,  pp. 1-4, 2019. [PDF]
  73. A. Elgohary, M. Saad, O. Yehia, M. Thabet, S. Shaban, M. Khaled, and H. Mostafa, “Low utilization FPGA implementation of OFDM transceiver based on IEEE 802.11n standard “, IEEE International Conference on Modern Circuits and Systems Technology (MOCAST 2019), Thessaloniki, Greece, pp. 1-4, 2019. [PDF]
  74. A. Alzahy, M. Elgammal, H. Mohammed, and H. Mostafa, “Optimal EEG Window Size for Neural Seizure Detection”, IEEE International Conference on Modern Circuits and Systems Technology (MOCAST 2019), Thessaloniki, Greece, pp. 1-4, 2019. [PDF]
  75. M. Shehata, S. M. Saied, and H. Mostafa, “A Multiple Input-Multiple Output Visible Light Communication System Design Based on Optical Orthogonal Codes”, IEEE International Conference on Modern Circuits and Systems Technology (MOCAST 2019), Thessaloniki, Greece, pp. 1-4, 2019. [PDF]
  76. A. Sawaby, H. Noureldin, M. Mohamed, M. Omar, N. Shaaban, N. Ahmed, S. Elhadidy, A. Hassan, and H. Mostafa, “A Smart Indoor Navigation System over BLE”, IEEE International Conference on Modern Circuits and Systems Technology (MOCAST 2019), Thessaloniki, Greece, pp. 1-4, 2019. [PDF]
  77. E. Adel, R. Magdy, S. Mohamed, M. Mamdouh, and H. Mostafa, “Accelerating Deep Neural Networks Using FPGA “, IEEE International Conference on Microelectronics (ICM 2018), Sousse, Tunisia, pp. 180-183, 2018. [PDF]
  78. A. Ismail, Z. Shaheen, osama rashad, and H. Mostafa, “A Low Power Hardware Implementation of Izhikevich Neuron using Stochastic Computing”, IEEE International Conference on Microelectronics (ICM 2018), Sousse, Tunisia, pp. 327-330, 2018. [PDF]
  79. E. R. Berikaa, A. Khalil, H. Hossam, M. Dessouky, and H. Mostafa, “Multi-Bit RRAM Transient Modelling and Analysis”, IEEE International Conference on Microelectronics (ICM 2018), Sousse, Tunisia, pp. 244-247, 2018. [PDF]
  80. M. Adel, M. El-Naggar, S. M. Darweesh, and H. Mostafa, “Multiple Hybrid Compression Techniques for Electroencephalography Data”, IEEE International Conference on Microelectronics (ICM 2018), Sousse, Tunisia, pp. 128-131, 2018. [PDF]
  81. M. Adel, S. M. Darweesh, and H. Mostafa, “Optimization of Handover Problem Using Q-Learning for LTE Network”, IEEE International Conference on Microelectronics (ICM 2018), Sousse, Tunisia, pp. 200-203, 2018. [PDF]
  82. M. I. Elzayat, A. M. Saad, M. M. Mostafa, M. R. Hassan, M. S. Dawrweesh, H. Abdelmunim, and H. Mostafa, “Real-Time Car Detection-Based Depth Estimation Using Mono Camera”, IEEE International Conference on Microelectronics (ICM 2018), Sousse, Tunisia, pp. 260-263, 2018. [PDF]
  83. A. M. M. Sabreen, A. A. Samir, L. A. ElMahdy, M. H. Ibrahim, M. H. Tawfik, O. O. ElShaer, and H. Mostafa, “Seizure Detection Using Gilbert’s Algorithm”, IEEE International Conference on Microelectronics (ICM 2018), Sousse, Tunisia, pp. 299-302, 2018. (Best Poster Paper Award) [PDF]
  84. S. Sharaf, and H. Mostafa, “A Study of Authentication Encryption Algorithms(POET, Deoxys, AEZ, MORUS, ACORN, AEGIS, AES-GCM) For Automotive Security”, IEEE International Conference on Microelectronics (ICM 2018), Sousse, Tunisia, pp. 315-318, 2018. [PDF]
  85. K. Salah, and H. Mostafa, “Constructing Effective UVM testbench for DRAM Memory Controllers”, IEEE International Conference on Next Generation Circuits and Systems (NGCAS 2018), Malta, pp. 178-181, 2018. [PDF]
  86. R. AbdEl-Sttar, E. Onsy, G. Maximous, A. Zaky, Tamer A. Ashour, Ashraf Seleym, and H. Mostafa, “Diagonal Mode: A New Mode for Triboelectric Nanogenerators Energy Harvesters”, IEEE International Conference on Next Generation Circuits and Systems (NGCAS 2018), Malta, pp. 114-117, 2018. [PDF]
  87. A. Sultan, A. H. Hassan, and H. Mostafa, “A Compact Low-Power Mitchell-Based Error Tolerant Multiplier”, IEEE International Conference on Next Generation Circuits and Systems (NGCAS 2018), Malta, pp. 130-133, 2018. [PDF]
  88. A. M. Hussein, L. E. Mostafa, M. R. Sabek, M. M. M. Abdelaleem, H. Abdelmunim, M. Ghonima, and H. Mostafa, “Real-Time Lane Detection-Based Line Segment Detection”, IEEE International Conference on Next Generation Circuits and Systems (NGCAS 2018), Malta, pp. 57-60, 2018. [PDF]
  89. A. Abbas, A. N. Mohieldin, and H. Mostafa, “Low Area and Low Power Implementation for Caesar Authenticated Ciphers”, IEEE International Conference on Next Generation Circuits and Systems (NGCAS 2018), Malta, pp. 49-52, 2018. [PDF]
  90. S. Hosny, E. Elnader, M. Gamal, A. Hussien, A. Hussein, and H. Mostafa, “A Software Defined Radio Transceiver Based on Dynamic Partial Reconfiguration”, IEEE International Conference on Next Generation Circuits and Systems (NGCAS 2018), Malta, pp. 158-161, 2018. [PDF]
  91. M. Shehata, and H. Mostafa, “A Single Wavelength Photonic Network on Chip Design Based on Optical Orthogonal Codes”, IEEE International Symposium on Circuits and Systems (ISCAS 2018), Florence, Italy, pp. 1-4, 2018.[PDF]
  92. M. Shehata, and H. Mostafa, “Photodetected Power Maximization of Photonically Generated Impulse Radio Ultrawide Band Signals”, IEEE International Symposium on Circuits and Systems (ISCAS 2018), Florence, Italy, pp. 1-4, 2018. [PDF]
  93. M. Saeed, T. Ismail, and H. Mostafa, “On RF Telemetry for Implantable Medical Devices: A Communication Theory Perspective”, IEEE International Symposium on Communication Systems, Networks, and Digital Signal Processing (CSNDSP’2018), Budapest, Hungary, pp. 1-6, 2018. [PDF]
  94. I. Ahmed, H. Mostafa, and A. Mohielddin, “Dynamic Partial Reconfiguration Verification Using Assertion Based Verification”, IEEE International Conference on Design and Technology of Integrated Systems in Nanoscale Era (DTIS 2018), Taormina, Italy, pp. 1-2, 2018. [PDF]
  95. R. Ali, H. Mostafa, and A. Hussein, “Impact of Dynamic Partial Reconfiguration on CONNECT Network-on-Chip for FPGAs”, IEEE International Conference on Design and Technology of Integrated Systems in Nanoscale Era (DTIS 2018), Taormina, Italy, pp. 1-5, 2018. [PDF]
  96. G. S. Maximous, A. M. Fatahalla, A. Seleym, T. A. Ashour, and H. Mostafa, “A New CAD Tool for Energy Optimization of Diagonal Motion Mode of Attached Electrode Triboelectric Nanogenerators”, IEEE International NEW Circuits and Systems Conference (NEWCAS 2018), Montreal, Canada, pp. 331-334, 2018. [PDF]
  97. A. Elnabawy, H. Abdelmohsen, M. Moustafa, M. Elbediwy, A. Helmy, and H. Mostafa, “A Low Power CORDIC-Based Hardware Implementation of Izhikevich Neuron Model”, IEEE International NEW Circuits and Systems Conference (NEWCAS 2018), Montreal, Quebbec, Canada, pp. 130-133, 2018. [PDF]
  98. I. Ahmed, A. K. ELdin, H. Mostafa, and A. Mohieldin, “Utilizing Dynamic Partial Reconfiguration to Reduce the Cost of FPGA Debugging”, IEEE International NEW Circuits and Systems Conference (NEWCAS 2018), Montreal, Canada, pp. 205-208, 2018. [PDF]
  99. H. Mostafa, “Experimental Study of the Adaptive Body Bias on-Chip (ABBoC) for Bias Temperature Instability (BTI) and Process Variations (PV) Compensation”, IEEE International Conference on Modern Circuits and Systems Technologies (MOCAST 2018), Thessaloniki, Greece, pp. 1-4, 2018. [PDF]
  100. H. Hossam, M. Dessouki, and H. Mostafa, “Time-Based Read Circuit for Multi-Bit Memristor Memories”, IEEE International Conference on Modern Circuits and Systems Technologies (MOCAST 2018), Thessaloniki, Greece, pp. 1-4, 2018. [PDF]
  101. K. Khateb, M. Ahmed, A. K. ELdin, M. AbdelGhany, and H. Mostafa, “Dynamically Reconfigurable Power Efficient Security for Internet of Things Devices”, IEEE International Conference on Modern Circuits and Systems Technologies (MOCAST’2018), Thessaloniki, Greece, pp. 1-4, 2018. [PDF]
  102. A. Mohammed, M. Shehata, H. Mostafa, and A. Nassar, “Peak-to-Average Power Ratio Suppression Using Companding Schemes in OFDM Systems”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 933-936, 2018. [PDF]
  103. I. Ahmed, H. Mostafa, and A. N. Mohieldin, “On the Functional Verification of Dynamic Partial Reconfiguration”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 1126-1129, 2018. [PDF]
  104. M. Shehata, M. S. Said, and H. Mostafa, “A Compact UWB Antenna Design for Indoor Wireless Applications”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 202-205, 2018. [PDF]
  105. S. Hassan, H. Mostafa, and K. N. Salama, “An Approximate Multiplier Based Hardware Implementation of the Izhikevich Model”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 492-495, 2018. [PDF]
  106. H. Hossam, G. Mamdouh, H. H. Hussein, M. El-Dessouky, and H. Mostafa, “A New Read Circuit for Multi-Bit Memristor-Based Memories Based on Time to Digital Sensing Circuit”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 1114-1117, 2018. [PDF]
  107. A. Abdelmohsen, M. Abdelwahab, M. ADEL, M. Saeed, and H. Mostafa, “LTE Handover Parameters Optimization Using QLearning Technique”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 194-197, 2018. [PDF]
  108. A. H. Hassan, H. Mostafa, K. N. Salama, and A. M. Soliman, “A Low-Power Time-Domain Comparator for IoT Applications”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 1142-1145, 2018.
  109. M. Yousef, A. Hosny, W. Gamil, M. ADEL, H. M. Fahmy, S. M. Darweesh, and H. Mostafa, “Dual-Mode Forward Collision Avoidance Algorithm Based on Vehicle-to-Vehicle (V2V) Communication”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 739-742, 2018. [PDF]
  110. A. J. El-Maksoud, Y. O. Elmasry, K. N. Salama, and H. Mostafa, “ASIC Oriented Comparative Analysis of Biologically Inspired Neuron Models”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 504-507, 2018. [PDF]
  111. I. Ahmed, H. Mostafa, and A. N. Mohieldin, “Automatic Clock Domain Crossing Verification Flow for Dynamic Partial Reconfiguration”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 1122-1125, 2018. [PDF]
  112. M. Shehata, M. S. Said, and H. Mostafa, “Evaluation and Optimization of the Bit Rate Distance Relationships in IR-UWBoF Systems”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 656-659, 2018. [PDF]
  113. M. Shaheen, H. A. H. Fahmy, and H. Mostafa, “Modified Connect: New Bufferless Router for NoC-Based FPGAs”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 424-427, 2018. [PDF]
  114. A. Zaky, A. Ahmed, P. Ibrahim, B. Mahmoud, and H. Mostafa, “In-Out Cylindrical Triboelectric Nanogenerators Based Energy Harvester”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 1118-1121, 2018. [PDF]
  115. M. Elgammal, O. A. Elkhouly, H. Elhosary, M. E. Sayed, A. Mohieldin, K. N. Salama, and H. Mostafa, “Linear and Nonlinear Feature Extraction for Neural Seizure Detection”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2018), Windsor, Ontario, Canada, pp. 795-798, 2018. [PDF]
  116. M. El-Adawy, A. K. ELdin, H. Mostafa, and S. Said, “Performace Evalution of Turbo Encoder Implemention on a Heterogeneous FPGA-CPU Platform Using SDSoC”, IEEE International Conference on Advanced Control Circuits and Systems and New Paradigms in Electronics & Information Technology (ACCS/PEIT 2017), Alexandria, Egypt, pp. 286 – 290 , 2017. [PDF]
  117. M. Shehata, and H. Mostafa, “A Simplified Approach for Error Rate Analysis in Realistic Free Space Optical Fading Channels”, IEEE International Conference on Advanced Control Circuits and Systems and New Paradigms in Electronics & Information Technology (ACCS/PEIT 2017), Alexandria, Egypt, pp. 218-222, 2017. [PDF]
  118. M. I. Selmy, H. Mostafa, and A. A. S. Dessouki, “Low Power Memristor Based Voltage Controlled Oscillator For Electrical Neural Stimulation”, IEEE International Conference on Advanced Control Circuits and Systems and New Paradigms in Electronics & Information Technology (ACCS/PEIT 2017), Alexandria, Egypt, pp. 344 – 347 , 2017. [PDF]
  119. H. Elgemmazy, A. Helmy, H. Mostafa, and Y. Ismail, “High CMRR and Wideband Current-Mode Instrumentation Amplifier Using Fully Differential Operational Floating Conveyor”, IEEE International Japan-Africa Conference on Electronics, Communications, and Computers (JAC-ECC 2017), Alexandria, Egypt, pp. 41-44, 2017. [PDF]
  120. R. M. Elaskary, M. Saeed, T. Ismail, H. Mostafa, and S. Gabran, “Hybrid DCT/Quantized Huffman Compression for Electroencephalography Data”, IEEE International Japan-Africa Conference on Electronics, Communications, and Computers (JAC-ECC 2017), Alexandria, Egypt, pp. 119-122, 2017. [PDF]
  121. G. S. Maximous, A. El-Gunidy, H. Mostafa, T. Ismail, and S. Gabran, “A New Sensitivity-Specificity Product-Based Automatic Seizure Detection Algorithm”, IEEE International Japan-Africa Conference on Electronics, Communications, and Computers (JAC-ECC 2017), Alexandria, Egypt, pp. 115-118, 2017. [PDF]
  122. M. Beheiry, H. Mostafa, Y. Ismail, and A. M. Soliman, “3D-NOCET: A Tool for Implementing 3D-NoCs Based on the Direct-Elevator Algorithm”, International Symposium on Quality Electronic Design (ISQED 2017)), Santa Clara, California, USA, pp. 144-148, 2017. [PDF]
  123. A. H. Hassan, A. Ali, W. M. Ismail, M. Refky, Y. Ismail, and H. Mostafa, “A 1 Gs/S 6-Bit Time-Based Analog-to-Digital Converter (T-ADC) for Front-End Receivers”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Boston, MA, USA, pp. 1605-1608, 2017.[PDF]
  124. S. F. Nafea, A. A. S. Dessouki, S. El-Rabaie, B. E. Elnaghi, Y. Ismail, and H. Mostafa, “Area-Efficient Read/Write Circuit for Spintronic Memristor Based Memories”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Boston, MA, USA, pp. 1544-1547, 2017.[PDF]
  125. A. K. ELdin, S. Hosny, K. Mohamed, M. Gamal, A. Hussein, E. Elnader, A. Shalash, A. M. Obeid, Y. Ismail, and H. Mostafa, “A Reconfigurable Hardware Platform Implementation for Software Defined Radio using Dynamic Partial Reconfiguration on Xilinx Zynq FPGA”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Boston, MA, USA, pp. 1540-1543, 2017.[PDF]
  126. A. Salaheldin, H. Mostafa, and A. M. Soliman, “A CODEC, Tiles to NoC Router Interface, for Next Generation FPGAs with Embedded NoCs”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Boston, MA, USA, pp. 1228-1231, 2017. [PDF]
  127. A. Zaky, M. Shehata, Y. Ismail, and H. Mostafa, “Characterization and Model Validation of Triboelectric Nanogenerators using Verilog-A”, IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Boston, MA, USA, pp. 1536-1539, 2017. [PDF]
  128. A. K. ELdin, A. Mohamed, A. Nagy, Y. Gamal, A. Shalash, Y. Ismail, and H. Mostafa, “Design Guidelines for the High-Speed Dynamic Partial Reconfiguration Based Software Defined Radio Implementations on Xilinx Zynq FPGA”, IEEE International Symposium on Circuits and Systems (ISCAS 2017), Baltimore, USA, pp. 1-4, 2017. [PDF]
  129. A. K. ELdin, I. Ahmed, A. Obeid, A. Shalash, Y. Ismail, and H. Mostafa, “A Cost-Effective Dynamic Partial Reconfiguration Implementation Flow for Xilinx FPGA”, IEEE International NEW Generation of Circuits and Systems (NGCAS 2017), Genova, Italy, pp. 281-284, 2017. [PDF]
  130. A. Hassan, H. A. H. Fahmy, Y. Ismail, and H. Mostafa, “Exploiting the Dynamic Partial Reconfigurtion on NoC-Based FPGA”, IEEE International NEW Generation of Circuits and Systems (NGCAS 2017), Genova, Italy, pp. 277-280, 2017.[PDF]
  131. A. Abubakr, A. Ibrahim, Y. Ismail, and H. Mostafa, “The Impact of Soft Errors on Memristor-Based Memory”, IEEE International NEW Generation of Circuits and Systems (NGCAS 2017), Genova, Italy, pp. 229-232, 2017. [PDF]
  132. A. Hassan, M. Elbadry, Y. Ismail, and H. Mostafa, “A Low-Power Self-Startup Bandgap Circuit for Energy Efficient Applications”, IEEE International NEW Generation of Circuits and Systems (NGCAS 2017), Genova, Italy, pp. 29-32, 2017.[PDF]
  133. A. Hassan, H. A. H. Fahmy, Y. Ismail, and H. Mostafa, “Exploiting the Dynamic Partial Reconfigurtion on NoC-Based FPGA”, IEEE International NEW Generation of Circuits and Systems (NGCAS 2017), Genova, Italy, pp. 277-280, 2017.[PDF]
  134. A. Hassan, E. Hamed, E. Badr, O. Sharkawy, Y. Ismail, and H. Mostafa, “A VCO-Based MPPT Circuit for Low-Voltage Energy Harvesters”, IEEE International Symposium on Very Large Scale Integration (ISVLSI 2017), Bochum-Germany, pp. 580-584, 2017. [PDF]
  135. H. Elgemmazy, A. Helmy, H. Mostafa, and Y. Ismail, “A Novel CMOS-based Fully Differential Operational Floating Conveyor”, IEEE International Symposium on Very Large Scale Integration (ISVLSI 2017), Bochum-Germany, pp. 604-608, 2017. [PDF]
  136. A. Fouad, Y. Ismail, and H. Mostafa, “Design of a Time-Based Capacitance-to-Digital Converter Using Current Starved Inverters”, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 319-322, 2017. [PDF]
  137. D. Yasser, M. Elgammal, M. Atef, O. Hamada, A. H. Hassan, and H. Mostafa, “A Comparative Analysis of Optimized Low-Power Comparators for Biomedical-ADCs”, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 129-132, 2017. [PDF]
  138. H. Fahmy, G. Baumann, M. AbdelGhany, and H. Mostafa, “V2V-Based Vehicle Risk Assessment and Control for Lane-Keeping and Collision Avoidance”, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 61-65, 2017. [PDF]
  139. M. Alsenwi, M. Saeed, T. Ismail, S. Gabran, and H. Mostafa, “Hybrid Compression Technique with Data Segmentation for Electroencephalography Data”, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 235-238, 2017. [PDF]
  140. A. Abdelbaky, and H. Mostafa, “New Low Area NB-IoT Turbo Encoder Interleaver by sharing resources”, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 66-69, 2017. [PDF]
  141. H. Elgemmazy, A. Helmy, H. Mostafa, and Y. Ismail, “An Improved Design for High Speed Analog Applications of The Fully Differential Operational Floating Conveyor”, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 307-310, 2017. [PDF]
  142. K. Helal, A. Y. Aboelmakarem, A. – M. B. R. Fouad, T. S. Kamel, K. A. M. Nageeb, M. M. K. Mohamed, M. M. Abdelrhman, Y. Ismail, and H. Mostafa, “Low-Power High-Accuracy Seizure Detection Algorithms for Neural Implantable Platforms”, IEEE International Conference on Microelectronics (ICM 2017), Beirut, Lebanon, pp. 231-234, 2017. [PDF]
  143. S. F. Nafea, A. A. S. Dessouki, S. El-Rabaie, Kh.El-Barbary, and H. Mostafa, “Read Disturbance and Temperature Variation Aware Spintronic Memristor Model”, IEEE Canadian Conference on Electrical and Computer Engineering (CCECE 2016), Vancouver, Canada, pp. 1-4, 2016. [PDF]
  144. M. Abdelkader, A. Ali, A. Abdelaziz, W. M. Ismail, M. Refky, Y. Ismail, and H. Mostafa, “A 200MS/s, 8-bit Time-based Analog to Digital Converter (TADC) in 65nm CMOS Technology”, IEEE International Japan-Egypt Conference on Electronics, Communications, and Computers (JEC-ECC 2016)), Cairo, Egypt, pp. 25-28, 2016. [PDF]
  145. M. Elgabry, H. Mostafa, and A. M. Soliman, “A Comparative Study of the Voltage-to-Time Converters (VTCs) and the Voltage-to-Frequency Converters (VFCs) Circuits”, IEEE International Japan-Egypt Conference on Electronics, Communications and Computers (JEC-ECC 2016)), Cairo, Egypt, pp. 21-24, 2016. [PDF]
  146. K. Ismail, T. Ismail, Y. Ismail, and H. Mostafa, “Design and Implementation of CDR and SerDes for High-speed Optical Communication Networks using FPGA”, IEEE International Conference on Transparent Optical Networks (ICTON 2016), Trento, Italy, pp. 1-3, 2016. [PDF]
  147. N. Gamal, H. Fahmy, Y. Ismail, and H. Mostafa, “Design Guidelines for Embedded NoCs on FPGAs”, IEEE International Conference on Quality Electronic Design (ISQED 2016), Santa Clara, California, USA, pp. 69-74, 2016. [PDF]
  148. N. Gamal, H. A. H. Fahmy, Y. Ismail, T. Ismail, M. Mohie-Eldin, and H. Mostafa, “Design Guidelines for Soft Implementations to Embedded NoCs of FPGAs”, International Design and Test Symposium (IDT 2016), Hammamet, Tunisia, pp. 37-42, 2016. [PDF]
  149. M. Mohie-Eldin, H. A. H. Fahmy, Y. Ismail, N. Gamal, and H. Mostafa, “Leakage Power Evaluation of FinFET-Based FPGA Cluster Under Threshold Voltage Variation”, International Design and Test Symposium (IDT 2016), Hammamet, Tunisia ( Best Paper Award: First Place), pp. 137-141, 2016. [PDF]
  150. M. Bahnasawi, A., K. Ibrahim, A. Mohamed, M. Khalifa, A. Moustafa, K. Abelmonim, Y. ismail, and H. Mostafa, “ASIC-Oriented Comparative Review of Hardware Security Algorithms for the Internet of Things Applications”, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, pp. 285-288, 2016. [PDF]
  151. M. Asenwi, T. Ismail, and H. Mostafa, “Performance Analysis of Hybrid Lossy/Lossless Compression Techniques for EEG Data”, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, pp. 1-4, 2016.[PDF]
  152. M. Ashraf, H. Mostafa, and A. Eladawy, “A Low-Power Area-Efficient Design and Comparative Analysis for High-Resolution Neural Data Compression”, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, pp. 217-220, 2016. [PDF]
  153. E. Belal, H. Mostafa, Y. Ismail, and S. M. Said, “A Voltage Multiplying AC/DC Converter for Energy Harvesting Applications”, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, pp. 229-232, 2016. [PDF]
  154. A. Hussein, V. Gaudet, H. Mostafa, and M. Elmasry, “A 16-bit High-Speed Low Power Hybrid Adder”, IEEE International Conference on Microelectronics (ICM 2016), Cairo, Egypt, pp. 313-316, 2016. [PDF]
  155. M. Elmasry, M. Medhat, and H. Mostafa, “Novel Ultra Low Voltage Mobile Compatible RF MEMS Switch for Reconfigurable Microstrip Antenna”, IEEE International System on Chip Conference (SOCC 2016), Seattle, WA, USA, pp. 286-289, 2016. [PDF]
  156. A. H. Hassan, M. W. Ismail, Y. Ismail, and H. Mostafa, “A 200 MS/s 8-bit Time-Based Analog-to-Digital Converter with Inherit Sample and Hold”, IEEE International System on Chip Conference (SOCC 2016), Seattle, WA, USA, pp. 120-124, 2016. [PDF]
  157. A. El-Attar, S. Ahmed, Y. Abdelkader, A. Hassan, and H. Mostafa, “A Comparative Analysis of Optimized CMOS Neural Amplifier”, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, pp. 107-110, 2015. [PDF]
  158. E. Belal, H. Mostafa, and S. M. Said, “Comparison between Active AC-DC Converters For Low Power Energy Harvesting Systems”, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, pp. 253-256, 2015. [PDF]
  159. N. G. Tawfik, H. Mostafa, and Y. Ismail, “Comparison Between Analog and Digital Locking MPPT Unit for Micro-scale PV Energy Harvesting Systems”, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, pp. 67-70, 2015. [PDF]
  160. M. Beheiry, A. Aly, H. Mostafa, and A. M. Soliman, “Direct-Elevator: A Modified Routing Algorithm for 3D-NoCs”, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, pp. 1-4, 2015. [PDF]
  161. A. Sadek, H. Mostafa, and A. Nassar, “Dynamic Channel Coding Reconfiguration in Software Defined Radio”, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, pp. 1-4, 2015. [PDF]
  162. M. Elkhouly, A. Madian, and H. Mostafa, “Insights for Utilizing the Memristor as a Multi-bit Based Memory”, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, pp. 1-4, 2015. [PDF]
  163. A. Elbayoumi, H. Mostafa, and A. M. Soliman, “A New 65nm-CMOS 1V 8GS/s 9-bit Differential Voltage-Controlled Delay Unit Utilized for a Time-Based Analog-to-Digital Converter Circuit”, IEEE International Conference on Microelectronics (ICM 2015), Casablanca, Morocco, pp. 1-4, 2015. [PDF]
  164. K. Helal, S. Attia, T. Ismail, and H. Mostafa, “Comparative Review of NOCs in the Context of ASICs and FPGAs”, IEEE International Symposium on Circuits and Systems (ISCAS 2015), Lisbon, Portugal, pp. 1866-1869, 2015. [PDF]
  165. H. Abdelsalam, E. Hegazy, H. Mostafa, and Y. Ismail, “A Tunable Multi-band/Multi-standard Receiver Front-End Supporting LTE “, IEEE International Symposium on Circuits and Systems (ISCAS 2015), Lisbon, Portugal, pp. 974-977, 2015. [PDF]
  166. A. El-Bayoumi, H. Mostafa, and A. M. Soliman, “A New Highly-Linear Highly-Sensitive Differential Voltage-to-Time Converter Circuit in CMOS 65nm Technology”, IEEE International Symposium on Circuits and Systems (ISCAS 2015), Lisbon, Portugal, pp. 1262-1265, 2015. [PDF]
  167. S. Ismail, A. Madian, H. Mostafa, and A. Talaat, “A Novel Capacitive-to-Digital Converter Interface Based on MemCapacitors for MEMS Capacitive Sensing Applications”, International Symposium on Nonlinear Theory and its Applications (NOLTA 2015), Hong Kong, China, pp. 1-4, 2015. [PDF]
  168. M. Mohie-Eldin, H. Mostafa, H. Fahmy, Y. Ismail, and H. Abdelhamid, “Performance Evaluation of FinFET-Based FPGA Cluster Under Threshold Voltage Variation”, IEEE International Conference on NEW Circuits and Systems (NEWCAS 2015), Grenoble, France, pp. 1-4, 2015.[PDF]
  169. K. Helal, S. Attia, T. Ismail, and H. Mostafa, “Priority-Select Arbiter: An Efficient Round-Robin Arbiter”, IEEE International Conference on NEW Circuits and Systems (NEWCAS 2015), Grenoble, France, pp. 1-4, 2015.[PDF]
  170. A. Eltaliawy, H. Mostafa, and Y. Ismail, “A New Digital Locking MPPT control for Ultra Low Power Energy Harvesting Systems”, IEEE International Conference on NEW Circuits and Systems (NEWCAS 2015), Grenoble, France, pp. 1-4, 2015. [PDF]
  171. H. Abdelsalam, E. Hegazi, H. Mostafa, and Y. Ismail, “A Reconfigurable Receiver Architecture Utilizing Time-Varying Matching Network for A Universal Receiver”, IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), Cairo Egypt, pp. 1-4, 2015. [PDF]
  172. M. Ibrahim, A. Eltaliawy, H. Mostafa, and Y. Ismail, “A New Digital Current Sensing Technique Suitable for Low Power Energy Harvesting Systems”, IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), Cairo Egypt, pp. 1-4, 2015. [PDF]
  173. A. Salaheldin, K. Abdallah, N. Gamal, and H. Mostafa, “Review of NoC-Based FPGAs Architectures”, IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), Cairo Egypt, pp. 1-4, 2015. [PDF]
  174. K. O. Ragab, H. Mostafa, and A. Eladawy, “TDC SAR Algorithm with Continuous Disassembly (SAR-CD) for Time-Based ADCs”, IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), Cairo Egypt, pp. 1-4, 2015. [PDF]
  175. K. Ali, H. Mostafa, and T. Ismail, “High Performance Layout-Friendly 64-Bit Priority Encoder Utilizing Parallel Priority Look-Ahead”, IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), Cairo Egypt, pp. 1-4, 2015. [PDF]
  176. Y. Elsayed, A. Wageeh, T. Ismail, and H. Mostafa, “All-Optical Clock and Data Recovery using Self-Pulsating Lasers for High-Speed Optical Networks”, IEEE International Conference on Energy-Aware Computing Systems and Applications (ICEAC 2015), Cairo Egypt (Best Paper Award), pp. 1-3, 2015. [PDF]
  177. Y. Elsayed, A. Wageeh, T. Ismail, and H. Mostafa, “All-Optical Clock and Data Recovery using Self-Pulsating Lasers for High-Speed Optical Networks”, IEEE International Conference on Energy-Aware Computing Systems and Applications (ICEAC 2015), Cairo Egypt (Best Paper Award), pp. 1-3, 2015. [PDF]
  178. O. Abdelkader, H. Abdelhamid, H. Mostafa, and A. M. Soliman, “The Impact of FinFET Technology Scaling on Critical Path Performance under Process Variations”, IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), Cairo Egypt, pp. 1-4, 2015. [PDF]
  179. Y. El-Sayed, T. Ismail, and H. Mostafa, “A Wide FBG-Based Optical Clock and Data Recovery for Optical Access Networks”, International Conference on Transparent Optical Networks (ICTON 2015), Budapest, Hungary, pp. 1-4, 2015. [PDF]
  180. T. Ismail, H. Mostafa, and Y. Ismail, “Performance Evaluation of Wavelength Exchanging in WDM Optical Crossbar”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, pp. 681-684, 2015. [PDF]
  181. M. Abdallah, H. Mostafa, and M. Fathy, “Yield Optimization of Spintronic Memristor Based Memory Arrays”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, pp. 523-526, 2015. [PDF]
  182. A. Sadek, H. Mostafa, and A. Nassar, “On the Use of Dynamic Partial Reconguration for Multi-Band/Multi-Standard Software Dened Radio”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, pp. 498-499, 2015. [PDF]
  183. A. Hassan, R. Ahmed, H. Mostafa, H. Fahmy, and A. Hussein, “Performance Evaluation of Dynamic Partial Reconfiguration Techniques for Software Defined Radio Implementation on FPGA”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, pp. 183-186, 2015. [PDF]
  184. N. Edward, Y. Ghallab, H. Mostafa, and Y. Ismail, “A CMOS Based Operational Floating Current Conveyor”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, pp. 157-160, 2015. [PDF]
  185. S. Abdel-Aziz, O., H. Mostafa, T. Ismail, and S. Gabran, “Low-Power Implantable Seizure Detection Processor”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, pp. 496-497, 2015.[PDF]
  186. A. El-Bayoumi, H. Mostafa, and A. M. Soliman, “A New 16-Bit Low-Power PVT-Calibrated Time-Based Di
    erential Analog-to-Digital Converter (ADC) Circuit in CMOS 65nm Technology”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, pp. 492-493, 2015. [PDF]
  187. O. Abdelkader, H. Mostafa, H. Abdelhamid, and A. Soliman, “Impact of Technology Scaling on the Minimum Energy Point for FinFET Based Flip-Flops”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, pp. 462-465, 2015. [PDF]
  188. B. Safieldeen, H. Mostafa, H. Abdelhamid, and Y. Ismail, “A Comparative Evaluation of Single-Walled Carbon Nanotubes and Copper in Interconnects and Through-Silicon Vias”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo Egypt, pp. 519-522, 2015. [PDF]
  189. M. W. Ismail, and H. Mostafa, “A New Design Methodology for Voltage-to-Time Converters (VTCs) Circuits suitable for Time-Based Analog-to-Digital Converters (T-ADC)”, IEEE International System On Chip Conference (SOCC 14), Las Vegas, Nevada, USA, pp. 103-108, 2014. [PDF]
  190. M. Elshamy, H. Mostafa, and S. Said, “New Non-Destructive Read/Write Circuit for Memristor-Based Memories”, IEEE International Conference on Engineering and Technology (ICET 14), Egypt, pp. 1-5, 2014. [PDF]
  191. H. Mostafa, and Y. Ismail, “Modeling the Limitations Imposed by the Timing Jitter/Skew on the Time-Based ADC Performance”, IEEE International Conference on Engineering and Technology (ICET 14), Egypt, pp. 1-5, 2014. [PDF]
  192. M. Elshamy, H. Mostafa, and S. Said, “Design Considerations/Insights for Memristor-Based Memory Arrays”, IEEE International Conference on Engineering and Technology (ICET 14), Egypt, pp. 1-6, 2014. [PDF]
  193. M. Elshamy, H. Mostafa, and S. Said, “Comparative Review of the TiO2 and the Spintronic Memristor Devices”, IEEE Canadian Conference on Electrical and Computer Engineering (CCECE 2014), Toronto, Canada, pp. 317-322, 2014.[PDF]
  194. H. Mostafa, and Y. Ismail, “A Design Oriented Model for Timing Jitter/Skew of Voltage-to-Time Converter (VTC) Circuits”, IEEE Canadian Conference on Electrical and Computer Engineering (CCECE 2014), Toronto, Canada, pp. 121-126, 2014. [PDF]
  195. A. Eltaliway, H. Mostafa, and Y. Ismail, “Circuit Design Techniques for Increasing The Output Power of Switched Capacitor Charge Pumps”, IEEE Canadian Conference on Electrical and Computer Engineering (CCECE 2014), Toronto, Canada, pp. 251-255, 2014. [PDF]
  196. M. Elshamy, H. Mostafa, and S. Said, “A Novel Non-Destructive Readout Circuit for Memristor-Based Memory Arrays”, IEEE Canadian Conference on Electrical and Computer Engineering (CCECE 2014), Toronto, Canada, pp. 312-316, 2014. [PDF]
  197. A. Hussein, M. Fawzy, W. M. Ismail, M. Refky, and H. Mostafa, “A 4-Bit 6GS/s Time-Based Analog-To-Digital Converter”, IEEE International Conference on Microelectronics (ICM 2014), Doha, Qatar, pp. 92-95, 2014. [PDF]
  198. H. Abdelsalam, E. Hegazi, H. Mostafa, and Y. Ismail, “A New Programmable Receiver Front-End Architecture Supporting LTE”, IEEE International Conference on Microelectronics (ICM 2014), Doha, Qatar, pp. 25-28, 2014. [PDF]
  199. A. El-Thakeb, H. Abdelhamid, H. Mostafa, and Y. Ismail, “Performance Evaluation of FinFET-Based SRAM Cells Under Statistical Threshold Voltage Variability”, IEEE International Conference on Microelectronics (ICM 2014), Doha, Qatar, pp. 88-91, 2014. [PDF]
  200. A. Ali, A. Hassan, M. Ali, M. Hassoubh, N. Mohamed, W. M. Ismail, M. Refky, and H. Mostafa, “A 500 MS/s 6-Bits Delay Line ADC with Inherit Sample & Hold”, IEEE International Conference on Microelectronics (ICM 2014), Doha, Qatar, pp. 96-99, 2014. [PDF]
  201. M. Abdallah, H. Mostafa, and M. Fathy, “Yield Maximization of Memristor-Based Memory Arrays”, IEEE International Conference on Microelectronics (ICM 2014), Doha, Qatar, pp. 5-8, 2014. [PDF]
  202. H. Mostafa, and Y. Ismail, “Highly-Linear Voltage-to-Time Converter (VTC) Circuit for Time-Based Analog-to-Digital Converters (T-ADCs)”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 13), Abu Dhabi, United Arab Emirates, pp. 149 – 152, 2013. [PDF]
  203. A. Eltaliawy, H. Mostafa, and Y. Ismail, “Microscale Solar Energy Harvesting for Wireless Sensor Networks Based on Exponential Maximum Power Locking Technique”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 13), Abu Dhabi, United Arab Emirates, pp. 889 – 892, 2013. [PDF]
  204. H. Mostafa, M. Anis, and M. Elmasry, “Negative capacitance circuits for process variations compensation and timing yield improvement”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS 13) , Abu Dhabi, United Arab Emirates , pp. 277 – 280, 2013. [PDF]
  205. H. Mostafa, M. Anis and M. Elmasry, “Comparative Analysis of Power Yield Improvement under Process Variation of Sub-threshold FlipFlops,” IEEE International Symposium on Circuits and Systems (ISCAS 2010), pp. 1739-1742, Paris, France 2010.[PDF]
  206. H. Mostafa, M. Anis and M. Elmasry, “Statistical Timing Yield Improvement of Dynamic Circuits Using Negative Capacitance Technique,” IEEE International Symposium on Circuits and Systems (ISCAS 2010), pp. 1747-1750, Paris, France 2010.[PDF]
  207. H. Mostafa and A. M. Soliman, Novel Low-Power Accurate Wideband CMOS Negative-Second-Generation-Current-Conveyor Realizations Based on Floating-Current-Source Building Blocks, Proceedings of IEEE Toronto International Conference on Science and Technology for Humanity 2009 (TIC-STH 2009), pp. 720-725, Toronto, Canada, 2009.[PDF]
  208. H. Mostafa, M. Anis and M. Elmasry, “Comparative Analysis of Timing Yield Improvement under Process Variations of Flip-Flops Circuits,” Proceedings of IEEE International Symposium on VLSI (ISVLSI 2009), pp. 133-138, Florida, USA 2009.[PDF]
  209. H. Mostafa, M. Anis and M. Elmasry, “The Impact of Timing Yield Improvement Under Process Variation on Flip-Flops Soft Error Rate,” Proceedings of IEEE International Symposium on Quality Electronic Design in Asia (ASQED 2009), pp. 109-117, KL, Malaysia 2009.[PDF]
  210. H. Mostafa, M. Anis and M. Elmasry, “Comparative Analysis of Process Variation Impact on Flip-Flops Soft Error Rate,” Proceedings of IEEE International Symposium on Quality Electronic Design in Asia (ASQED 2009), pp. 103-108, KL, Malaysia 2009.[PDF]
  211. F. Khalek, H. Mostafa, and M. Anis, “Statistical Model for Ring Oscillator Phase Noise Variability Accounting for Within-Die Process Variation,” Proceedings of IEEE International Symposium on Quality Electronic Design in Asia (ASQED 2009), pp. 118-121, KL, Malaysia 2009.[PDF]