Journal Papers

  1. Y. Nabil, H. ElSawy, S. Al-Dharrab,  H. Attia, and H. Mostafa ” Beamwidth Design Tradeoffs in Radar-aided Millimeter-wave Cellular Networks: A Stochastic Geometry Approach”, IEEE Access, In Press.
  2. Y. Nabil, H. ElSawy, S. Al-Dharrab,  H. Attia, and H. Mostafa ” Ultra-Reliable Device-Centric Uplink Communications in Airborne Networks: A Spatiotemporal Analysis”, IEEE Transactions on Vehicular Technology, In Press.
  3. M. H. Abdelwahab, H. Mostafa, and A. Khattab, ” A Low Footprint Olive Grove Weather Forecasting Using a Single-Layered Seasonal Attention Encoder-Decoder Model , ” , Elsevier Ecological Informatics, In Press.
  4. A. Mohammed, M. Elbakry, H. Mostafa, A. Ammar, ” An Effective Technique for Increasing Capacity and Improving Bandwidth in 5G NB-IoT ,”  International Journal of Electrical and Computer Engineering (IJECE), vol. 13, no. 5, pp. 5232-5242, 2023.[PDF]
  5. A. Mohammed, M. Elbakry, H. Mostafa, A. Ammar, ” Doubling the Number of Connected Devices in Narrow-band Internet of Things while Maintaining System Performance: An STC-based Approach,”  Advances in Science, Technology and Engineering Systems Journal,  vol. 8, no. 4, pp. 1-10, 2023.  [PDF]
  6. P. Elsayed, H. Mostafa, and M. Marzouk, ” BIM Based Framework for Building Evacuation using Bluetooth Low Energy and Crowd Simulation, ” Elsevier Journal of Building Engineering, vol. 70, no. 106409, 2023.[PDF]
  7. A. Abostait, R. Tawfik, M. S. Darweesh , and H. Mostafa, ” Design and FPGA-Based Hardware Implementation of NB-IoT Physical Uplink Shared Channel Transmitter and Physical Downlink Shared Channel Receiver,” Electronics Journal, vol. 12, no. 9, article no. 1966, 2023.[PDF]
  8. Y. Nabil, H. ElSawy, S. Al-Dharrab, H. Mostafa, and H. Attia, “, Data Aggregation in Regular Large-scale IoT Networks: Granularity, Reliability, and Delay Tradeoffs”, IEEE Internet of Things Journal, vol. 9, no. 18, pp. 17767-17784, 2022.[PDF]
  9. H. S. Mohammed, H. M. Hassan, M. H. Zakhari, H. Mostafa, and E. A. Mohamad, “Linear and Non-Linear Feature Extraction from Rat Electrocorticograms for Seizure Detection by Support Vector Machine” Biomedical Engineering / Biomedizinische Technik, In Press.
  10. R. M. Tawfik, H. A. A. Nomer, M. S. Darweesh, A. W. Mohamed, and H. Mostafa, “, UAV-Aided Data Acquisition Using Gaining-Sharing Knowledge Optimization Algorithm”, Tech Science Press Computers, Materials, & Continua Journal, vol. 72, no. 3, pp. 5999-6013, 2022.[PDF]
  11. E. Youssef, H. A. Elsimary, M. A. El-Moursy, H. Mostafa, and A. Khattab, ” Energy-Efficient Precision-Scaled CNN Implementation with Dynamic Partial Reconfiguration ,” IEEE Access, vol. 10, pp. 95571 – 95584, 2022.[PDF]
  12. A. S. Hussein, A. Anwar, Y. Fahmy, H. Mostafa, K. N. Salama, M. Kafafy, “Implementation of a DPU-Based Intelligent Thermal Imaging Hardware Accelerator on FPGA,” Electronics Journal, vol. 11, no. 1, article no. 105, 2022.[PDF]
  13. S. Soliman, M. Shehata, and H. Mostafa, “Generation of Low-Complexity Power-Efficient IR-UWB Waveforms, ” Elsevier Optik–International Journal for Light and Electron Optics, vol. 251, 168245, pp. 1-7, 2022.[PDF]
  14. Y. I. Abdelhak, F. Kamel, M. Hafez, H. E. Kotb, H. Omran, T. Ismail, and H. Mostafa, ” Radio Optical Network Simulation Tool (RONST), ” Tech Science Press Computers, Materials, & Continua Journal, vol. 71, no. 2, pp.3685-3702, 2022.[PDF]
  15. M. M. Goda, A. H. Hassan, H. Mostafa, and A. M. Soliman, ” A Novel Refreshment Circuit for 2T1M Neuromorphic Synapse, ” Journal of Circuits, Systems, and Computers (JCSC), vol. 31, no. 3, 2250047, 2022. [PDF]
  16. A. J. Abd El-Maksoud, M. Ebbed, A. H. Khalil, and H. Mostafa, ” Power Efficient Design of High-Performance Convolutional Neural Networks Hardware Accelerator on FPGA: A Case Study with GoogLeNet, ” IEEE Access, vol. 9, pp. 151897-151911, 2021.[PDF]
  17. M. S. Darweesh, M. Adel, A. Anwar, O. Farag, A. Kotb, M. Adel, A. Tawfik, and H. Mostafa, ” Early Breast Cancer Diagnostics Based on Hierarchical Machine Learning Classification for Mammography Images, ” Taylor & Francis Cogent Engineering Journal, vol. 1, no. 2, pp. 1-19, 2021.[PDF]
  18. A. Hesham, A. Nassar, and H. Mostafa, ” Design and Implementation of Energy-Efficient Near-Threshold Standard Cell Library for IoT Applications, ” Elsevier AEU – International Journal of Electronics and Communications, vol. 139, 153907, 2021.[PDF]
  19. H. Elhosary, M. H. Zakhari, M. A. Elgammal, K. A. H. Kelany, M. A. Abd El Ghany, Khaled N. Salama, and H, Mostafa, ” Hardware Acceleration of High Sensitivity Power-Aware Epileptic Seizure Detection System Using Dynamic Partial Reconfiguration,” IEEE Access, vol. 9, pp. 75071-75081, 2021.[PDF]
  20. A. Mohamed, T. Ismail, A. Nassar, and H. Mostafa, “A Novel Companding Technique to Reduce High Peak to Average Power Ratio in OFDM Systems, ” IEEE Access, vol. 9, pp. 35217-35228, 2021.[PDF]
  21. A. H. Hassan, H. Mostafa, M. Refky, K. N. Salama, and A. M. Soliman, ” A 0.002 mm^2 8-Bit 1-MS/s Low-Power Time-Based DAC (T-DAC), ” IET Circuits, Devices & Systems Journal, pp. 1-7, 2021.[PDF]
  22. I. Ahmed, A. N. Mohieldin, and H. Mostafa, “Functional Verification of Dynamic Partial Reconfiguration for Software-Defined Radio”, Journal of Circuits, Systems, and Computers (JCSC), vol. 30, no. 3, 2150042, 2021.[PDF]
  23. R. Osama and H. Mostafa, ” Implementation of Deep Neural Networks on FPGA-CPU platform Using Xilinx SDSOC, ” Springer Analog Integrated Circuits and Signal Processing, vol. 106, pp. 399-408, 2021.[PDF]
  24. R. Ahmed, H. Mostafa, and A. H. Khalil, “Design of a Reconfigurable Network-on-Chip for Next Generation FPGAs Using Dynamic Partial Reconfiguration”, Elsevier Microelectronics Journal, vol. 108, 104964, pp. 1-11, 2021.[PDF]
  25. A. Refaei, M. Seleem, A. Tharwat, and H. Mostafa, “A Compact Model for the Zigzag Triboelectric Nanogenerator Energy Harvester”, Wiley International Journal of Energy Research, vol. 45, no. 2, pp. 1645-1660, 2021.[PDF]
  26. N. Eltresy, O. Dardeer, A. Habal, E. Elhariri, A. Abotaleb, D. M. Elsheakh, A. Khattab, S. Taie, H. Mostafa, H. A. Elsadek, and E. A. Abdallah, “Smart Home IoT System by Using RF Energy Harvesting”, Journal of Sensors, vol. 2020, no. 8828479, 2020.[PDF]
  27. A. Elgreatly, A. Dessouki, H. Mostafa, R. Abdalla, and E. El-Rabaie, “A Novel Highly Linear Voltage-to-Time Converter (VTC) Circuit for Time Based Analog-to-Digital Converters (ADC) Using Body Biasing”, Electronics Journal, vol. 9, no. 12, article no. 2033, 2020.[PDF]
  28. A. Ahmed, M. Shehata, I. Hassan, Y. I. Abdelhak, E. Cigdem, M. El-Kady, A. Helal, Y. Ismail, and H. Mostafa, “A Theoretical Modeling Analysis for Triboelectrification Controlled Light Emitting Diodes”, Elsevier Nano Energy, vol. 74, 104874, pp. 1-9, 2020. [PDF]
  29. A. Hassan, A. El-Shaboury, K. Mohamed, M. Askar, O. Mowafy, Y. Mosaad, A. H. Hassan, and H. Mostafa, “A High-Efficiency Piezoelectric-Based Integrated Power Supply for Low-Power Platforms” Elsevier Microelectronics Journal, vol. 102, 104824, pp. 1-11, 2020.[PDF]
  30. B. H. Mohamed, A. Taha, A. Shawky, E. Ahmed, A. Mohamed, M. Mohsen, R. Samy, A. ELHosiny, A. Ibrahim, and H. Mostafa, “Design of the Baseband Physical Layer of NarrowBand IoT LTE Uplink Digital Transmitter”, Journal of Circuits, Systems, and Computers (JCSC), vol. 29, no. 7, pp. 1-16, 2020.[PDF]
  31. E. A. Aly, M. B. Alabd, N. Kassem, W. Mustafa, M. Shehata, and H. Mostafa, “Accurate Closed Form Expressions for The Time-Bandwidth Product and FCC Violation Ratio as New Metrics To Characterize UWB Optical Systems”, Elsevier Optik–International Journal for Light and Electron Optics, vol. 216, 164856, pp. 1-8, 2020.[PDF]
  32. S. Hassan, S. Attia, K. N. Salama, and H. Mostafa, “EANN: Energy Adaptive Neural Networks”, Electronics Journal, vol. 9, no. 5, article no. 746, 2020.[PDF]
  33. M. El-Adawy, M. Mostafa, S. Said, and H. Mostafa, “Automated Performance-based Design Technique for an Efficient LTE PDSCH Implementation using SDSoC Tool”, Wiley International Journal of Communication Systems, vol. 33, no. 4, e4202, 2020. [PDF]
  34. A. A. Daoud, A. S. Dessouki, H. Mostafa, R. M. Abdallah, and E. M. El-Rabaie, “A High Precision Write/Read Circuits for Memristors using Digital Input/Output Interfaces, ” Elsevier Microelectronics Journal, vol. 96, 104694, pp. 1-12, 2020. [PDF]
  35. M. Shehata, A. M. Abotaleb, M. G. Ali, M. F. O. Hameed, H. Mostafa, and S. S. A. Obayya, “Maximum Emission Levels of Photonically Generated Impulse Radio Waveforms Under Spectral Constraints, ” Elsevier Optik–International Journal for Light and Electron Optics, vol. 206, 164266, pp. 1-8, 2020. [PDF]
  36. H. Elhosary, M. H. Zakhari, M. A. Elgammal, M. A. E. A. Ghany, K. N. Salama, and H. Mostafa, “Low-Power Hardware Implementation of a Support Vector Machine Training and Classification for Neural Seizure Detection”, IEEE Transactions on Biomedical Circuits and Systems, vol. 13, no. 6, pp. 1324-1337, Dec. 2019.[PDF]
  37. N. Samir, A. S. Hussein, M. Khaled, A. N. ElZeiny, M. Osama, H. Yassin, A. Abdelbaky, O. Mahmoud, A. Shawky, and H. Mostafa, “ASIC and FPGA Comparative Study for IoT Lightweight Hardware Security Algorithms”, Journal of Circuits, Systems, and Computers (JCSC), vol. 28, no. 12, pp. 1-13, 2019.[PDF]
  38. M. Shehata, M. S. Said, and H. Mostafa, “A Generalized Framework for The Performance Evaluation of Microwave Photonic Assisted IR-UWB Waveform Generators”, IEEE Systems Journal, vol. 13, no. 4, pp. 3724 – 3734, 2019.[PDF]
  39. N. Eltresy, O. Dardeer, A. Al-Habal, Esraa Elhariri, A. Hassan, A. Khattab, D. Elsheakh, S. Taie, H. Mostafa, H. Elsadek, and E. Abdallah, “RF Energy Harvesting IoT System for Museum Ambience Control with Deep Learning”, Sensors Journal, vol. 19, no. 20, pp. 4456, 2019.[PDF]
  40. S. Soliman, M. A. Jaela, A. M. Abotaleb, Y. Hassan, M. A. Abdelghany, A. T. Abdel-Hamid, K. N. Salama, and H. Mostafa, “FPGA Implementation of Dynamically Reconfigurable IoT Security Module Using Algorithm Hopping”, Elsevier Integration VLSI Journal, vol. 68, pp. 108-121, 2019. [PDF]
  41. A. Salaheldin, H. Mostafa, and A. M. Soliman, “Design Exploration for Network on Chip Based FPGAs: 2D and 3D Tiles to Router Interface”, Elsevier Microelectronics Journal, vol. 88, pp. 47-55, 2019. [PDF]
  42. S. F. Nafea, , A. A. S. Dessouki, S. El-Rabaie, B. E. Elnaghi, Y. Ismail, and H. Mostafa, “An Accurate Model of Domain-Wall-Based Spintronic Memristor”, Elsevier Integration VLSI Journal, vol. 65, pp. 149-162, 2019. [PDF]
  43. M. Ashraf, H. Mostafa, A. Eladawy, and Y. Ismail, “Power Adaptive High-Resolution Neural Data Compression Algorithm (PANDCA) “, Elsevier Microelectronics Journal, vol. 88, pp. 154-163, 2019. [PDF]
  44. E. Onsy, R. A. El-Sttar, G. S. Maximous, A. Zaky, and H. Mostafa, “Complete Study for Diagonal Triboelectric Nanogenerators Based Energy Harvester with CAD Tool”, Journal of Low Power Electronics (JLOPE), vol. 15, no. 1, pp. 51-63, 2019. [PDF]
  45. A. Abbas, H. Mostafa, and A. N. Mohieldin, “Low Area and Low Power Implementation for CAESAR Authenticated Ciphers”, Journal of Low Power Electronics (JLOPE), vol. 15, no. 1, pp. 104-114, 2019. [PDF]
  46. M. Shehata, S. M. Said, and H. Mostafa,  “Dual Notched Band Quad-Element MIMO Antenna with Multi-Tone Interference Suppression for IR-UWB Wireless Applications”, IEEE Transactions on Antennas and Propagation (TAP), vol. 66, no. 11, pp. 5737 – 5746, 2018. [PDF]
  47. K. Helal, S. Attia, H. Fahmy, T. Ismail, Y. Ismail, and H. Mostafa, “Dual Split-Merge: A High Throughput Router Architecture for FPGAs”, Elsevier Microelectronics Journal, vol. 81, pp. 51-57, 2018. [PDF]
  48. A. Baher, A. N. El-Zeiny, A. Aly, A. Khalil, A. Hassan, A. Saeed, K. A. ElMakarem, M. E. Moursy, and H. Mostafa, “Dynamic Power Estimation using Transaction Level Modeling”, Elsevier Microelectronics Journal, vol. 81, pp. 107-116, 2018. [PDF]
  49. S. Attia, H. A. H. Fahmy, and H. Mostafa, “Optimizing FPGA-based Hard Networks-on-Chip by Minimizing and Sharing Resources”, Elsevier Integration VLSI Journal, vol. 63, pp. 138-147, 2018. [PDF]
  50. H. H. Draz, S. R. I. Gabran, M. Basha, H. Mostafa, M. Abu-Elyazeed, and A. Zaki, “Comparative Mechanical Analysis of Deep Brain Stimulation Electrodes”, Springer Nature: BioMedical Engineering OnLine, vol. 12, issue 123, pp. 1-14, 2018. [PDF]
  51. A. H. Hassan, H. Mostafa, Y. Ismail, and A. M. Soliman, “A Low-Power High-Efficiency Inductive Link Power Supply for Neural Recording and Stimulation SoC”, American Scientific Publisher (ASP) Journal of Low Power Electronics (JLOPE), vol. 14, issue 1, pp. 129-139(11), 2018. [PDF]
  52. A. H. Hassan, A. Fouad, H. Mostafa, K. N. Salama, and A. M. Soliman, “A New Design Methodology for Time-Based Capacitance-to-Digital Converters (T-CDCs)”, Elsevier AEU – International Journal of Electronics and Communications, vol. 96, pp. 238-245, 2018. [PDF]
  53. M. Elgabry, A. Hassan, H. Mostafa, and A. M. Soliman, “A New Design Methodology for Voltage-to-Frequency Converters (VFCs) Circuits Suitable for Time-Based Analog-to-Digital Converters (T-ADC)”, Springer Analog Integrated Circuits and Signal Processing, vol. 94, issue 2, pp. 277–287, 2018. [PDF]
  54. A. Hassan, H. Mostafa, and H. A. H. Fahmy, “NoC-DPR: A New Simulation Tool Exploiting the Dynamic Partial Reconfiguration (DPR) on Network-on-Chip (NoC) Based FPGA”, Elsevier Integration VLSI Journal, vol. 63, pp. 204-212, 2018. [PDF]
  55. M. Shehata, H. Mostafa, and Y. Ismail, “On The Theoretical Limits of The Power Efficiency of Photonically Generated IR-UWB Waveforms”, IEEE Journal of Lightwave Technology (JLT), vol. 36, issue 10, pp. 2017 – 2023, 2018. [PDF]
  56. O. Abdelkader, M. M. El-Din, H. Mostafa, H. Abdelhamid, H. A. H. Fahmy, Y. Ismail, and A. M. Soliman, “Technology Scaling Roadmap for FinFET-Based FPGA Clusters Under Process Variations”, Journal of Circuits, Systems, and Computers, vol. 27, issue 4, pp. (1850056)1-32, 2018. [PDF]
  57. M. Shehata, H. Mostafa, and Y. Ismail, “Accurate Closed Form Expressions for The Bit Rate-Distance Relationship in IR-UWBoF Systems”, IEEE Communications Letters, vol. 21, issue 10, pp. 2138-2141, 2017. [PDF]
  58. M. Shehata, H. Mostafa, and Y. Ismail, “Closed Form Expressions and Bounds for The Signal to Noise Ratio in IR-UWBoF Systems”, IEEE Photonics Technology Letters, vol. 29, issue 6, pp. 507-510, 2017. [PDF]
  59. A. Ahmed, I. Hassan, T. Ibn-Mohammed, H. Mostafa, I. M. Reaney, L. S. C. Koh, J. Zu, and Z. Wang, “Environmental life cycle assessment and techno-economic analysis of triboelectric nanogenerator”, Royal Society of Chemistry: Energy and Environmental Science, vol. 10, issue 3, pp. 653-671, 2017. [PDF]
  60. A. Elbayoumi, H. Mostafa, and A. M. Soliman, “A Novel MIM-CapacitorBased 1-GS/s 14-bit Variation-Tolerant Fully-Differential Voltage-to- Time Converter (VTC) Circuit”, Journal of Circuits, Systems and Computers (JCSC), vol. 26, issue 5, pp. 1-35, 2017. [PDF]
  61. A. Sadek, H. Mostafa, A. Nassar, and Y. Ismail, “Towards the Implementation of Multi-band Multi-standard Software Defined Radio using Dynamic Partial Reconfiguration”, Wiley International Journal of Communication Systems, vol. 30. no. 17, e3342, 2017. [PDF]
  62. E. Abdelkhalek, Y. El-Sayed, T. Ismail, and H. Mostafa, “Electrical and Optical Clock and Data Recovery in Optical Access Networks: A Comparative Study”, Wiley International Journal of Communication Systems, vol. 29, no. 17, pp. 2555–2564, 2016. [PDF]
  63. K. O. Ragab, H. Mostafa, and A. Eladawy, “A Novel 10-bit 2.8mW Time-to-Digital Converter Design using SAR with Continuous Dis-assembly Algorithm”, IEEE Transactions on Circuits and Systems II (TCAS-II), vol. 63, issue 10, pp. 909-913, 2016. [PDF]
  64. H. Abdelsalam, E. Hegazi, H. Mostafa, and Y. Ismail, “On the use of a programmable front-end for multi-band/multi-standard applications”, Elsevier Microelectronics Journal, vol. 49, pp. 1-9, 2016. [PDF]
  65. H. Mostafa, and Y. Ismail, “Process Variation Aware Design of Multi-Valued Spintronic Memristor-Based Memory Arrays”, IEEE Transactions on Semiconductor Manufacturing (TSM), vol. 29, issue 2, pp. 145-152, 2016. [PDF]
  66. H. Mostafa, and Y. Ismail, “Statistical Yield Improvement Under Process Variations of Multi-Valued Memristor-Based Memories”, Elsevier Microelectronics Journal, vol. 51, pp. 46-57, 2016. [PDF]
  67. H. Mostafa, and Y. Ismail, “A Design-Oriented Timing Jitter/Skew Model in Voltage-to-Time Converter (VTC) Circuits”, Analog Integrated Circuits and Signal Processing, SPRINGER, vol. 82, issue 1, pp. 309-321, 2015. [PDF]
  68. A. Eltaliawy, H. Mostafa, and Y. Ismail, “Micro-scale Variation-Tolerant Exponential Maximum Power Tracking System for Self-Powered Wireless Sensor Networks”, Elsevier Microelectronics Journal, vol. 46, pp. 221-230, 2015. [PDF]
  69. M. Elshamy, H. Mostafa, Y. Ghallab, and S. M. Said, “A Novel Non-Destructive Read/Write Circuit for Memristor-Based Memory Arrays”, IEEE Transactions on Very Large Scale Integration (TVLSI), vol. 23, issue 11, pp. 2648 – 2656, 2015. [PDF]
  70. Y. Ghallab, H. Mostafa, and Y. Ismail, “A New Current Mode Implementation of a Balanced-Output-Signal Generator”, Analog Integrated Circuits and Signal Processing, SPRINGER, vol. 81, issue 3, pp. 751-762 , 2014. [PDF]
  71. H. Mostafa, M. Anis, and M. Elmasry, “Statistical SRAM Read Access Yield Improvement Using Negative Capacitance Circuits”, IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 21, issue 1: IEEE, pp. 92-101, 2013. [PDF]
  72. H. Mostafa, M. Anis, and M. Elmasry, “NBTI and Process Variations Compensation Circuits Using Adaptive Body Bias, ” IEEE Transactions on Semiconductor Manufacturing (TSM), vol. 25, no. 3, pp. 460-467, 2012.[PDF]
  73. H. Mostafa, M. Anis, and M. Elmasry, “On-Chip Process Variations Compensation Using an Analog Adaptive Body Bias (A-ABB), ” IEEE Transactions on Very Large Scale Integration Systems (TVLSI) vol. 20, no. 4, pp. 770-774, 2012.[PDF]
  74. H. Mostafa, M. Anis, and M. Elmasry, “Adaptive Body Bias for Reducing the Impacts of NBTI and Process Variations on 6T SRAM Cells, ” IEEE Transactions on Circuits and Systems I (TCAS-I), vol. 58, no. 12, pp. 2859-2871, 2011.[PDF]
  75. H. Mostafa, M. Anis, and M. Elmasry, “A Bias-Dependent Model for the Impact of Process Variations on the SRAM Soft Error Immunity, ” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 19, no. 11, pp. 2130-2134,  2011.[PDF]
  76. H. Mostafa, M. Anis, and M. Elmasry, ” A Novel Low Area Overhead Direct Adaptive Body Bias (D-ABB) Circuit for Die-to-Die and Within-Die Variations Compensation,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 19, no. 10, pp. 1848-1860, 2011.[PDF]
  77. H. Mostafa, M. Anis, and M. Elmasry, “Novel Timing Yield Improvement Circuits for High-Performance Low-Power Wide Fan-In Dynamic OR Gates, ” IEEE Transactions on Circuits and Systems I (TCAS-I), vol. 58, no. 8, pp. 1785-1797, 2011.[PDF]
  78. S. Sadrossadat, H. Mostafa, and M. Anis, “Statistical Design Framework of Sub-Micron Flip-Flop Circuits Considering Die-to-Die and Within Die Variations, ” IEEE Transactions on Semiconductor Manufacturing (TSM), vol. 24, no. 1, pp. 69-79, 2011.[PDF]
  79. H. Mostafa, M. Anis, and M. Elmasry, “Analytical Soft Error
    Models Accounting for Die-to-Die and Within-Die Variations in Sub-Threshold SRAM Cells, ” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 19, no. 2, pp. 182-195, 2011.[PDF]
  80. H. Mostafa, M. Anis, and M. Elmasry, ” A Design-Oriented
    Soft Error Rate Variation Model Accounting for Both Die-to-Die and Within Die Variations in Sub-micrometer CMOS SRAM Cells, ” IEEE Transactions on Circuits and Systems I (TCAS-I), vol. 57, no. 6, pp. 1298-1311, 2010.[PDF]
  81. H. Mostafa, and A. M. Soliman, “Novel FCS-Based Layout-Friendly
    Accurate Wide-Band Low-Power CCII- Realizations,” Journal of Circuits, Systems and Computers (JCSC), vol.19, no.5, pp. 997-1014, 2010.[PDF]
  82. H. Mostafa, and A. M. Soliman, Novel Accurate Wideband CMOS
    current conveyor, Frequenz Journal of Germany, vol. 60, pp. 233-235, 2006.[PDF]
  83. H. Mostafa, and A. M. Soliman, “A Modi ed CMOS Realization
    of the Operational transresistance Ampli er (OTRA),” Frequenz Journal of Germany, vol. 60, pp. 70-76, 2006.[PDF]
  84. H. Mostafa, and A. M. Soliman, “Novel CMOS Realization of the Operational Floating Conveyor and Applications,” Journal of Circuits, Systems and Computers (JCSC), vol.14, pp.1113-1143, 2005.[PDF]